© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Fast FPGA Resource Estimation Paul Schumacher & Pradip Jha Xilinx, Inc.
George Mason University FPGA Design Flow ECE 448 Lecture 9.
© 2003 Xilinx, Inc. All Rights Reserved Architecture Wizard and PACE FPGA Design Flow Workshop Xilinx: new module Xilinx: new module.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
FPGA Devices & FPGA Design Flow
XILINX ISE 9.1/9.2. To Get Familiar with the Environment How to start an FPGA project How to target your design to particular type of FPGA How to describe.
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
Foundation and XACTstepTM Software
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
v8.2 System Generator Audio Quick Start
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
© 2011 Xilinx, Inc. All Rights Reserved Intro to System Generator This material exempt per Department of Commerce license exception TSU.
Delevopment Tools Beyond HDL
StateCAD FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe how.
FPGA and ASIC Technology Comparison - 1 © 2009 Xilinx, Inc. All Rights Reserved How do I Get Started with PlanAhead?
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
© 2003 Xilinx, Inc. All Rights Reserved Reading Reports Xilinx: This module was completely redone. Please translate entire module Some pages are the same.
© 2003 Xilinx, Inc. All Rights Reserved CORE Generator System.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Xilinx Development Software Design Flow on Foundation M1.5
Comments on Lab #4 Annotating Timing Diagrams Draw viewer’s attention to the points you are trying to show / verify –Important output states glitch or.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
HDL Bencher FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe the.
1 Introduction to Xilinx ISL8.1i & 11.1 Schematic Capture 1.
© 2003 Xilinx, Inc. All Rights Reserved FPGA Editor: Viewing and Editing a Routed Design.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
This material exempt per Department of Commerce license exception TSU Reading Reports.
OVERVIEW OF OVERVIEW OF Spartan-3. DESIGNFLOW Translate Map Place & Route Plan & Budget HDL RTL Simulation Synthesize to create netlist Functional Simulation.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Working with Xilinx Spartan 3 Embedded Systems Lab 2009.
LAB1 Summary Zhaofeng SJTU.SOME. Embedded Software Tools CPU Logic Design Tools I/O FPGA Memory Logic Design Tools FPGA + Memory + IP + High Speed IO.
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
Programmable Logic Training Course HDL Editor
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6.
© 2003 Xilinx, Inc. All Rights Reserved System Simulation.
© 2004 Xilinx, Inc. All Rights Reserved Embedded Processor Design.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
1 - CPRE 583 (Reconfigurable Computing): VHDL to FPGA: A Tool Flow Overview Iowa State University (Ames) CPRE 583 Reconfigurable Computing Lecture 5: 9/7/2011.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU HDL Co-Simulation.
This material exempt per Department of Commerce license exception TSU System Simulation.
Introduction to FPGA Tools
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
CORE Generator System V3.1i
Speaker: Tsung-Yi Wu FPGA Design Flow (Part 2) : Simulation.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Implementation Options.
© 2004 Xilinx, Inc. All Rights Reserved Adding a Processor System to an FPGA Design.
11 EENG 1920 Introduction to VHDL. 22 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Teaching Digital Logic courses with Altera Technology
Survey of Reconfigurable Logic Technologies
What’s New in Xilinx Ready-to-use solutions. Key New Features of the Foundation Series 1.5/1.5i Release  New device support  Integrated design environment.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL FPGA Design Flow based on Aldec Active-HDL FPGA Board.
Ready to Use Programmable Logic Design Solutions.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU CORE Generator System.
How to use ISE Dept. of Info & Comm. Eng. Prof. Jongbok Lee.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
Introduction to the FPGA and Labs
Programmable Hardware: Hardware or Software?
ASIC Design Methodology
M1.5 Foundation Tools Xilinx XC9500/XL CPLD
ChipScope Pro Software
ChipScope Pro Software
THE ECE 554 XILINX DESIGN PROCESS
Digital Designs – What does it take
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 2 Objectives After completing this module, you will be able to: List the steps of the Xilinx design process Implement and simulate an FPGA design by using default software options

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 3 Outline Overview ISE Foundation Summary Lab 1: Xilinx Tool Flow Demo

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 4 Translate Map Place & Route Xilinx Design Flow Plan & Budget HDL RTL Simulation Synthesize to create netlist Functional Simulation Attain Timing Closure Timing Simulation Implement Create Code/ Schematic Generate BIT File Configure FPGA

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 5 Design Entry Plan and budget Whichever method you use, you will need a tool to generate an EDIF or NGC netlist to bring into the Xilinx implementation tools – Popular synthesis tools include: Synplify, Precision, FPGA Compiler II, and XST Tools available to assist in design entry – Architecture Wizard, CORE Generator™ system, and StateCAD tools Simulate the design to ensure that it works as expected! Plan & BudgetCreate Code/ Schematic HDL RTL Simulation Synthesize to create netlist Functional Simulation... Create designs in HDL or Schematic

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 6 Synthesis After coding up your HDL code, you will need a tool to generate a netlist (NGC or EDIF) – Xilinx Synthesis Tool (XST) included – Support for Popular Third Party Synthesis tools: Synplify and Synplify Pro from Synplicity, and Precision from Mentor Graphics Generate a netlist file

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 7 Implementation Consists of three phases – Translate: Merge multiple design files into a single netlist – Map: Group logical symbols from the netlist (gates) into physical components (slices and IOBs) – Place & Route: Place components onto the chip, connect the components, and extract timing data into reports Access Xilinx reports and tools at each phase – Timing Analyzer, Floorplanner, FPGA Editor, XPower Translate Map Place & Route Implement Netlist Generated From Synthesis Process a netlist file

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 8 Configuration Once a design is implemented, you must create a file that the FPGA can understand – This file is called a bitstream: a BIT file (.bit extension) The BIT file can be downloaded – Directly into the FPGA Use a download cable such as Platform USB – To external memory device such as a Xilinx Platform Flash PROM Must first be converted into a PROM file Testing and Verification

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 9 Online Software Manuals See Development System Reference Guide for Flow Diagrams

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 10 Timing Closure

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 11 Outline Overview ISE Foundation Summary Lab 1: Xilinx Tool Flow Demo

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 12 ISE Project Navigator Enter Designs Access to synthesis tools – Including third-party synthesis tools Implement your design with a simple double-click – Fine-tune with easy-to- access software options Download – Generate a bitstream – Configure FPGA using iMPACT Xilinx ISE Foundation is built around the Xilinx Design Flow

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 13 Entering Designs Source Wizard available to assist with design entry Select source type – Design Entry Methods Schematic HDL source (VHDL and Verilog) – Design Entry Tools Architecture Wizard BMM/MEM/UCF Files Core Generator ChipScope Embedded Processor System Generator – Simulation Test Bench VHDL Verilog

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 14 Synthesizing Designs Double-click to Synthesize Generate a netlist file using XST (Xilinx Synthesis Technology) Highlight HDL Sources 1 2 Synthesis Processes and Analysis – Access report – View Schematics (RTL or Technology) – Check Syntax – Generate Post-Synthesis Simulation Model

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 15 Implementing Designs Implement a design – Translate Access reports Post-Translate Simulation Model – Map Access reports Post-Map Static Timing Manually place components Post-Map Simulation Model – Place & Route Access reports Analyze timing/Floorplan (PlanAhead) Manually place & route components And more Process netlist generated from synthesis Highlight HDL Sources 1 Double-click to Implement 2

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 16 The Design Summary Displays Design Data Quick View of Reports, Constraints Project Status Device Utilization Detailed Reports Design Properties Performance Summary (not shown)

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 17 Simulating Designs Verify the design with the ISE Simulator Select simulation 1 Highlight test bench 3 Double-click to simulate 4 Add a test bench – VHDL, Verilog, or Xilinx waveform file Perform a Behavioral Simulation – Use UNISIM/UniMacro library when FPGA primitives are instantiated in the design – Use XilinxCoreLib library when IP cores are instantiated in the design Perform a timing simulation – Use Xilinx SIMPRIM library when FPGA primitives are instantiated in the design SmartModels – Simulation library for both functional and timing simulation of Xilinx Hard-IP such as PPC, PCIe, GT, TEMAC are used in the design Select simulation type 2

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 18 Configuring FPGAs Configure FPGAs from computer – Use iMPACT to download bitstream from computer to FPGA via Xilinx download cable (ie. Platform USB) Configure FPGAs from External Memory – Xilinx Platform Flash Use iMPACT to generate PROM file and download to PROM using Xilinx download cable – Generic Parallel PROM Use iMPACT to generate PROM file - no support for programming – Compact Flash (Xilinx System ACE required) Use iMPACT to generate SysACE file - no support for programming Double-click to generate.bit 2 Highlight source file 1 Generate PROM files and download to devices using iMPACT Double-click to invoke iMPACT programming tools 3

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 19 Outline Overview ISE Summary Lab 1: Xilinx Tool Flow

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 20 Review Questions What are the phases of the Xilinx design flow? What are the components of implementation, and what happens at each step? What are two methods of programming an FPGA?

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 21 Answers What are the phases of the Xilinx design flow? – Plan and budget, create code or schematic, RTL simulation, synthesize, functional simulation, implement, timing closure, timing simulation, and BIT file creation What are the components of implementation, and what happens at each step? – Translate: merges multiple design files into one netlist – Map: groups logical symbols into physical components – Place & Route: places components onto the chip and connects them What are two methods of programming an FPGA? – Directly from Computer – From external memory device

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 22 Summary Implementation means more than Place & Route Xilinx provides a simple pushbutton tool to guide you through the Xilinx design process

© 2011 Xilinx, Inc. All Rights Reserved For Academic Use Only Tool Flow 23 Outline Overview ISE Summary Lab 1: Xilinx Tool Flow