Digital System Design Course Introduction Maziar Goudarzi.

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

Verilog HDL -Introduction
ENEL111 Digital Electronics
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
Introduction To VHDL for Combinational Logic
VHDL - I 1 Digital Systems. 2 «The designer’s guide to VHDL» Peter J. Andersen Morgan Kaufman Publisher Bring laptop with installed Xilinx.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
1 Verilog Digital Computer Logic Kashif Bashir WWW: http//:
Evolution and History of Programming Languages Software/Hardware/System.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
Combinational Logic Design Sections 3-1, 3-2 Mano/Kime.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Digital System Design Verilog ® HDL Maziar Goudarzi.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
O VERVIEW OF DIGITAL SYSTEMS Hardware Description Language 1.
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
EC1354 – VLSI DESIGN SEMESTER VI
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
GOOD MORNING.
CSET 4650 Field Programmable Logic Devices
April 15, Synthesis of Signal Processing on FPGA Hongtao
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
CS 303 Logic & Digital System Design. Logic & Digital System Design Examles of Usage –Vending Machines –Communication System –μ-Processors –Traffic controls.
1 Lecture - 2 M.Tech. – Weekend Programme. 2 EDA Tools 1. Design Entry a.View Logic b.Mentor Graphics (Renoir) c.Cadence Design System d.OrCAD e.ALDEC.
Studio Session 1: Introduction to VHDL and related Tools EE19D – 25/01/2005.
EL 3101 EL310 Hardware Description Languages Spring 2015 Instructor: Ilker Hamzaoglu Teaching Assistant: Ercan Kalalı Web Site:
COE 405 Design and Modeling of Digital Systems
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 1 September 27, 2006.
CPEN Digital System Design
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
Copyright 2009 Joanne DeGroat, ECE, OSU 1 ECE 762 Theory and Design of Digital Computers, II (A real course title: Design and Specification of Digital.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
M.Mohajjel. Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog.
Digital System Design Verilog ® HDL Introduction to Synthesis: Concepts and Flow Maziar Goudarzi.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
An Introduction to Digital System Design
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
Hardware Description Languages ECE 3450 M. A. Jupina, VU, 2014.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Combinational Logic Design
Digital System Design An Introduction to Verilog® HDL
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
Introduction to Programmable Logic
ECE 551: Digital System Design & Synthesis
Week 5, Verilog & Full Adder
332:437 Lecture 7 Verilog Hardware Description Language Basics
Lecture 1.3 Hardware Description Languages (HDLs)
332:437 Lecture 7 Verilog Hardware Description Language Basics
VHDL Introduction.
332:437 Lecture 7 Verilog Hardware Description Language Basics
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
H a r d w a r e M o d e l i n g O v e r v i e w
Digital Designs – What does it take
Presentation transcript:

Digital System Design Course Introduction Maziar Goudarzi

Course Outline Course contents Course timing Teaching Assistants Verilog HDL® Design Methodology Programmable Logic Devices Course timing Will be put on the Courseware page Teaching Assistants As announced Exercise classes Sunday or Tuesday 12-13, Place: TBA 2010 DSD

Textbook and References Samir Palnitkar, Verilog® HDL: A Guide to Digital Design and Synthesis, Second Edition, Prentice Hall PTR, 2003. Zoran Salcic, Asim Smailagic, Digital System Design and Prototyping Using Field Programmable Logic and Hardware Description Languages, Kluwer Academic Publishers, 2002. Other references Pong P. Chu, FPGA Prototyping by Verilog Examples, Wiley, 2008. J. Bhaskar, Verilog HDL Synthesis: A Practical Primer, Star Galaxy Publishing, 1998. Chan, Mourad, Digital Design Using Field Programmable Gate Arrays, Prentice Hall PTR, 1994. Verilog HDL, IEEE 1364-2005 Standard, IEEE Computer Society, Design Automation Standards Committee, 2006. 2010 DSD

Evaluation 50% or more Assignments and Course Project 25% Midterm exam 25% Final exam 2010 DSD

Introduction to Hardware Description Languages Digital System Design Introduction to Hardware Description Languages

Digital System System Digital vs. Analog 2010 DSD

Why HDL? Evolution of Logic Design Early days 10s of logic gates 2010 DSD

Evolution of Logic Design (cont’d) A decade later 100s of logic gates Schematic design Another decade later 1000s of logic gates Structural Hardware Description Languages (HDL) State of the art 10,000s-1000,000s-10,000,000s logic gates Behavioral and Register Transfer Level HDL 2010 DSD

Evolution of Logic Design (cont’d) HDL-based Design 2010 DSD

Why HDLs? SSI (Small Scale Integration) MSI (Medium Scale Integration) LSI (Large Scale Integration) VLSI (Very Large Scale Integration) Important concepts Simulation = check if design works fine Synthesis = implement the design on real hardware 2010 DSD

HDL Requirements Concurrency Timing and Delay Event-driven Design Hierarchy Implementation independence Readability (Documentation) 2010 DSD

Popular Levels of Abstraction Behavioral problem Data flow Structural Switch 2010 DSD

Popular Levels of Abstraction (cont’d) Behavioral problem Data flow Structural Switch 2010 DSD

Popular Levels of Abstraction (cont’d) Behavioral problem Data flow Structural Switch 2010 DSD

Popular Levels of Abstraction (cont’d) Behavioral problem Data flow Structural Switch 2010 DSD

HDL Examples AHDL CDL: Computer Description Language Altera HDL CDL: Computer Description Language 1965 ISPS: Instruction Set Processor Specification AHPL: A Hardware Programming Language Abel Small designs such as state machines 2010 DSD

Verilog HDL Verifying Logic Phil Moorby from Gateway Design Automation in 1984 to 1987 (absorbed by Cadence) Verilog-XL Simulator from GDA in 1986 Synopsys synthesis tool in 1988 In 1990 became open language, OVI (Open Verilog International) IEEE standard 1995 Similar to C Fairly efficient and easy to write 2010 DSD

VHDL DARPA workshop on VHSIC in 1981 VHSIC HDL: Very High Speed Integrated Circuit Hardware Description Language DARPA workshop on VHSIC in 1981 DARPA released requirement in 1983 A language for hardware documentation VHDL 7.2 in 1985 IEEE standard in 1987 ANSI standard in 1988 For RTL design VITAL added, VITAL(VHDL Initiative Towards ASIC Library) IEEE revised VHDL & VITAL in 1993 Final review of standard in 2001 Similar to Ada (emphasis on re-use and maintainability) Very general, but verbose 2010 DSD

Hello World! VHDL vs. Verilog ------------------------------------------ -- Design Name : hello_world ----------------------------------------- entity hello_world is end; architecture hello_world of hello_world is begin stimulus : process assert false report "Hello World By Deepak“ severity note; wait; end process stimulus; end hello_world; //----------------------------------------------------- // This is my first Verilog Program // Design Name : hello_world // File Name : hello_world.v // Function : This program will print 'hello world‘ // Coder : Deepak module hello_world ; initial begin $display ("Hello World by Deepak"); #10 $finish; end endmodule // End of Module hello_world 2013 DSD Ref: www.asic-world.com

VHDL vs. Verilog All abstraction levels Designed for documentation Ada based constructs High level & system descriptor No PLI All abstraction levels Designed for hardware design C and Ada constructs Gate & structural descriptor Very powerful PLI 2010 DSD

VHDL vs. Verilog VHDL Behavioral Dataflow Structural & Gate Switch 2010 DSD

VHDL vs. Verilog VHDL, More popular in Europe Verilog, In USA, Japan 2010 DSD

Announcement اولین دوره کشوری مسابقه طراحی سیستمهای دیجیتال مسابقه دو به دو بین طرحهای سخت افزاری مسابقه طراحی شتاب دهنده برای یک الگوریتم رمزگذاری http://fpgasoc.ir FPT’2013 Design Contest Design hardware for a Game Designs compete against one another in a live contest http://lut.eee.u-ryukyu.ac.jp/dc13/ ModelSim Getting Started class Next Sunday (time and place to be announced) Software link will be provided Bring your laptop if any 2013 DSD