Test Wrapper Designs for the Detection of Signal Integrity Faults on Core External Interconnects of SOCs Qiang Xu and Yubin ZhangKrishnendu Chakrabarty.

Slides:



Advertisements
Similar presentations
Defect Tolerance for Yield Enhancement of FPGA Interconnect Using Fine-grain and Coarse-grain Redundancy Anthony J. YuGuy G.F. Lemieux September 15, 2005.
Advertisements

1 Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction Qiang Xu The Chinese University of Hong Kong Dianwei Hu and Dong Xiang Tsinghua.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Leonardo da Vinci ALLEGRO © J. M. Martins Ferreira - University of Porto (FEUP / DEEC)1 Scan design techniques J. M. Martins Ferreira FEUP / DEEC - Rua.
Supply Voltage Noise Aware ATPG for Transition Delay Faults Nisar Ahmed and M. Tehranipoor University of Connecticut Vinay Jayaram Texas Instruments, TX.
Modular SOC Testing With Reduced Wrapper Count Qiang Xu; Nicolici, N., “Modular SOC testing with reduced wrapper count”, IEEE Transactions on Computer-Aided.
Current-Mode Multi-Channel Integrating ADC Electrical Engineering and Computer Science Advisor: Dr. Benjamin J. Blalock Neena Nambiar 16 st April 2009.
DSD 2007 Concurrent Error Detection for FSMs Designed for Implementation with Embedded Memory Blocks of FPGAs Andrzej Krasniewski Institute of Telecommunications.
The Impact of Variability on the Reliability of Long on-chip Interconnect in the Presence of Crosstalk Basel Halak, Santosh Shedabale, Hiran Ramakrishnan,
Local or Global Minima: Flexible Dual-Front Active Contours Hua Li Anthony Yezzi.
Hyunbean Yi, Sungju Park, and Sandip Kundu, Fellow, IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS-I : REGULAR PAPERS, VOL. 57, NO. 7, JULY 2010 Reporter:
X-Compaction Itamar Feldman. Before we begin… Let’s talk about some DFT history: Design For Testability (DFT) has been around since the 1960s. The technology.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
~ EDA lab ~ Interconnect Verification for SOC Jing-Yang Jou Department of Electronics Engineering National Chiao Tung University Hsinchu, Taiwan
Improved Boundary Scan Design (Based on a paper by Lee Whetsel, Texas Instruments Inc.)
Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,
L i a b l eh kC o m p u t i n gL a b o r a t o r y Performance Yield-Driven Task Allocation and Scheduling for MPSoCs under Process Variation Presenter:
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
IC-SOC STEAC: An SOC Test Integration Platform Cheng-Wen Wu.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Analysis and Avoidance of Cross-talk in on-chip buses Chunjie Duan Ericsson Wireless Communications Anup Tirumala Jasmine Networks Sunil P Khatri University.
University of Michigan Electrical Engineering and Computer Science 1 Online Timing Analysis for Wearout Detection Jason Blome, Shuguang Feng, Shantanu.
THEORETICAL LIMITS FOR SIGNAL REFLECTIONS DUE TO INDUCTANCE FOR ON-CHIP INTERCONNECTIONS F. Huret, E. Paleczny, P. Kennis F. Huret, E. Paleczny, P. Kennis.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
Ultra-Low Power On-Chip Differential Interconnects Using High-Resolution Comparator Hao Liu and Chung-Kuan Cheng University of California, San Diego 10/22/2012.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective and Efficient In-Field TSV Repair for Stacked 3D ICs Presenter: Li Jiang Li Jiang †, Fangming.
A Fast-Locked All-Digital Phase-Locked Loop for Dynamic Frequency Scaling Dian Huang Ying Qiao.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
On Timing- Independent False Path Identification Feng Yuan, Qiang Xu Cuhk Reliable Computing Lab, The Chinese University of Hong Kong ICCAD 2010.
Analysis of Phase Noise in a fiber-optic link
Introspective 3D Chips S. Mysore, B. Agrawal, N. Srivastava, S. Lin, K. Banerjee, T. Sherwood (UCSB), ASPLOS 2006 Shimin Chen (LBA Reading Group Presentation)
Crosstalk Analysis in UDSM technologies
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
Paper review: High Speed Dynamic Asynchronous Pipeline: Self Precharging Style Name : Chi-Chuan Chuang Date : 2013/03/20.
Interconnect Focus Center e¯e¯ e¯e¯ e¯e¯ e¯e¯ IWSM 2001Sam, Chandrakasan, and Boning – MIT Variation Issues in On-Chip Optical Clock Distribution S. L.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Presenter: Hong-Wei Zhuang X-Tracer: A Reconfigurable X- Tolerant Trace Compressor for Silicon Debug Feng Yuan Dept. of Comput. Sci. & Eng., Chinese Univ.
Test and Test Equipment Joshua Lottich CMPE /23/05.
Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint Li Jiang 1, Qiang Xu 1, Krishnendu Chakrabarty.
Reducing Test Application Time Through Test Data Mutation Encoding Sherief Reda and Alex Orailoglu Computer Science Engineering Dept. University of California,
1 Bus Encoding for Total Power Reduction Using a Leakage-Aware Buffer Configuration 班級:積體所碩一 學生:林欣緯 指導教授:魏凱城 老師 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits Jun Seomun, Jaehyun Kim, Youngsoo Shin Dept. of Electrical Engineering, KAIST,
Improving Voltage Assignment by Outlier Detection and Incremental Placement Huaizhi Wu* and Martin D.F. Wong** * Atoptech, Inc. ** University of Illinois.
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
1 Watermarking Scheme Capable of Resisting Sensitivity Attack IEEE signal processing letters, vol. 14, no. 2, February. 2007, pp Xinpeng Zhang.
© J. M. Martins Ferreira - University of Porto (FEUP / DEEC)1 IEEE Standard : Boundary-Scan Testing of Advanced Digital Networks J. M. Martins Ferreira.
A High-Speed & High-Capacity Single-Chip Copper Crossbar John Damiano, Bruce Duewer, Alan Glaser, Toby Schaffer, John Wilson, and Paul Franzon North Carolina.
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Modeling TSV Open Defects in 3D-Stacked DRAM Li Jiang †, Liu Yuxi †, Lian Duan ‡, Yuan Xie ‡, and Qiang.
Antihydrogen Workshop, June , CERN S.N.Gninenko Production of cold positronium S.N. Gninenko INR, Moscow.
Test Generation for Designs with Multiple Clocks Xijiang LinSudhakar M. Reddy Mentor Graphics Corp SW Boeckman Rd. Wilsonville, OR ECE Department.
Power-aware NOC Reuse on the Testing of Core-based Systems* CSCE 932 Class Presentation by Xinwang Zhang April 26, 2007 * Erika Cota, et al., International.
On Reliable Modular Testing with Vulnerable Test Access Mechanisms Lin Huang, Feng Yuan and Qiang Xu.
Worst Case Crosstalk Noise for Nonswitching Victims in High-Speed Buses Jun Chen and Lei He.
Testability in EOCHL (and beyond…)
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
An Illustration of 0.1µm CMOS layout design on PC
Enhanced JTAG to test interconnects in a SoC
An Improved Neural Network Algorithm for Classifying the Transmission Line Faults Slavko Vasilic Dr Mladen Kezunovic Texas A&M University.
Synthetic Sapphire Absorbance at 1064 nm
Department of Electrical Engineering
Aiman H. El-Maleh Sadiq M. Sait Syed Z. Shazli
A New Hybrid FPGA with Nanoscale Clusters and CMOS Routing Reza M. P
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
Presentation transcript:

Test Wrapper Designs for the Detection of Signal Integrity Faults on Core External Interconnects of SOCs Qiang Xu and Yubin ZhangKrishnendu Chakrabarty The Chinese University of Hong Kong Duke University

Outline Introduction Prior work and motivation Overshoot detector Wrapper design for interconnect SI test Experimental results Conclusion

Signal Integrity

Impact of Technology Scaling Crosstalk Serious crosstalk Interconnect Shrinking feature size

Signal Integrity Problem Signal integrity is a major concern!

Testing SOC Interconnects

Typical WOC for Interconnect SI Test Simultaneous aggressor transitions in test mode Different from functional mode

Impact of Aggressor Alignment on Crosstalk Transition timing of aggressors/victim significantly affects signal integrity Need for skewed transitions to avoid under-testing

Prior Overshoot Detector Cross-coupled differential amplifier Test_Mode signal as control of source current Hysteresis property Input-dependent detection Cannot detect overshoot in all cases! Source: M. Nourani and A. Attarha, TCAD’02

Motivation Prior SI test techniques –simultaneous transitions in test mode may result in under-testing. –cannot detect overshoot in all cases. We need –wrapper input cell that can detect overshoot and delay faults in all cases. –wrapper output cell that can apply skewed transitions.

Proposed Overshoot Detector Maintain hysteresis property Self-biased amplifier, higher resolution Reset mechanism Can detect overshoot in all cases

Comparison of Overshoot Detectors

Wrapper Input Cell Equipped with overshoot detector One extra FF as delay detector (FF1).

Wrapper Input Cell Equipped with overshoot detector One extra FF as delay detector (FF1). Save test data

Wrapper Input Cell Equipped with overshoot detector One extra FF as delay detector (FF1). Save test data Shift out result

Test Strategy I

Functional path

Test Strategy II

Test path

Controlled-Delay Element for Skewed-Transition

Proposed Wrapper Output Cell

Experimental Setup 90 nm technology with 1V power supply 5 mm long victim with 5 aggressors, each coupling for a 1 mm length On the eighth metal layer with typical parameter

Experimental Results with Previous WOC ns

Experimental Results with Proposed WOC ns ns with 2 delay paths with 4 delay paths

Experimental Results with Proposed WOC – Cont ns ns with 6 delay paths with 8 delay paths

Discussion Benefits –Enhanced signal-integrity fault detection capability Costs –DfT area overhead –test time –Possible over-testing

Conclusion Signal integrity is a major concern for today’s SoC interconnects We have proposed novel test wrappers that –Detect all kinds of overshoots –Apply skewed-transitions for aggressors/victim groups –Have moderate overhead

Q & A