1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.

Slides:



Advertisements
Similar presentations
(1/25) UCSD VLSI CAD Laboratory - ISQED10, March. 23, 2010 Toward Effective Utilization of Timing Exceptions in Design Optimization Kwangok Jeong, Andrew.
Advertisements

ECE Longest Path dual 1 ECE 665 Spring 2005 ECE 665 Spring 2005 Computer Algorithms with Applications to VLSI CAD Linear Programming Duality – Longest.
Tunable Sensors for Process-Aware Voltage Scaling
OCV-Aware Top-Level Clock Tree Optimization
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Minimum Implant Area-Aware Gate Sizing and Placement
Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
UC San Diego / VLSI CAD Laboratory NOLO: A No-Loop, Predictive Useful Skew Methodology for Improved Timing in IC Implementation Tuck-Boon Chan, Andrew.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science FA-STAC.
UCSD VLSI CAD Laboratory and UIUC PASSAT Group - ASPDAC, Jan. 21, 2010 Slack Redistribution for Graceful Degradation Under Voltage Overscaling Andrew B.
Background: Scan-Based Delay Fault Testing Sequentially apply initialization, launch test vector pairs that differ by 1-bit shift A vector pair induces.
Puneet Sharma and Puneet Gupta Prof. Andrew B. Kahng Prof. Dennis Sylvester System-Level Living Roadmap Annual Review, Sept Basic Ideas Gate-length.
Power-Aware Placement
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Toward PDN Resource Estimation: A Law of General Power Density Kwangok Jeong and Andrew B. Kahng
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Impact of Guardband Reduction on Design Process Outcomes Kwangok Jeong Andrew B. Kahng Kambiz Samadi
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
Placement Feedback: A Concept and Method for Better Min-Cut Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
An Efficient Chiplevel Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction Yan Lin 1, Yu Hu 1, Lei He 1 and Vijay Raghunathan 2 1 EE Department,
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Methodology from Chaos in IC Implementation Kwangok Jeong * and Andrew B. Kahng *,** * ECE Dept., UC San Diego ** CSE Dept., UC San Diego.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
Selective Gate-Length Biasing for Cost-Effective Runtime Leakage Control Puneet Gupta 1 Andrew B. Kahng 1 Puneet Sharma 1 Dennis Sylvester 2 1 ECE Department,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
1 A Method for Fast Delay/Area Estimation EE219b Semester Project Mike Sheets May 16, 2000.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators Tuck-Boon Chan †, Puneet Gupta §, Andrew B. Kahng †‡ and Liangzhen.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
Andrew B. Kahng‡†, Mulong Luo†, Siddhartha Nath†
Dose Map and Placement Co-Optimization for Timing Yield Enhancement and Leakage Power Reduction Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
-1- UC San Diego / VLSI CAD Laboratory A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo.
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
Discrete Gate Sizing CENG 5270 – Tutorial 9 WILLIAM CHOW.
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
1 Design Space Exploration for Power-Efficient Mixed-Radix Ling Adders Chung-Kuan Cheng Computer Science and Engineering Depart. University of California,
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Fast Algorithms for Slew Constrained Minimum Cost Buffering S. Hu*, C. Alpert**, J. Hu*, S. Karandikar**, Z. Li*, W. Shi* and C. Sze** *Dept of ECE, Texas.
Outline Introduction: BTI Aging and AVS Signoff Problem
EE 4271 VLSI Design, Fall 2013 Static Timing Analysis and Gate Sizing Optimization.
UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong.
Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Sorin Dobre +, Andrew B. Kahng * and Jiajia Li * * UC San Diego VLSI CAD.
Outline Motivation and Contributions Related Works ILP Formulation
-1- UC San Diego / VLSI CAD Laboratory On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
Effective Linear Programming-Based Placement Techniques Sherief Reda UC San Diego Amit Chowdhary Intel Corporation.
-1- UC San Diego / VLSI CAD Laboratory Optimal Reliability-Constrained Overdrive Frequency Selection in Multicore Systems Andrew B. Kahng and Siddhartha.
PROCEED: Pareto Optimization-based Circuit-level Evaluation Methodology for Emerging Devices Shaodi Wang, Andrew Pan, Chi-On Chui and Puneet Gupta Department.
Kun Young Chung*, Andrew B. Kahng+ and Jiajia Li+
Static Timing Analysis and Gate Sizing Optimization
Kristof Blutman† , Hamed Fatemi† , Andrew B
Static Timing Analysis and Gate Sizing Optimization
Presentation transcript:

1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew B. Kahng, Hailong Yao University of California, San Diego

Outline Background Main Contributions LP-Problem Formulation Experimental Results Conclusion 2 UCSD VLSI CAD Laboratory ISQED-2009

3 Background Sizing problem –Knobs to optimize power, timing and area Vdd, Vth, L gate, W gate, etc. –Find optimal sizing for tradeoff of power, timing and area Basic idea of leakage optimization –High speed (high leakage) gates  critical paths –Low speed (low leakage) gates  non-critical paths Previous works on leakage optimization –Iterative optimization  local optimum –Simplified timing model  timing violations

Contributions Key difference from previous work –Detailed delay modeling with signoff timing analysis –on per-timing-arc / per-instance –to capture local delay sensitivity accurately –High-speed and high-quality linear programming (LP) Key applications of LP-framework  Leakage power minimization under timing constraints  Simultaneous timing legalization with leakage minimization 4 UCSD VLSI CAD Laboratory ISQED-2009

5 Cell Delay Model SPICE simulations –65GP technology –All timing arcs –Rise and fall –7 input slew x 7 load –More than 50 cell masters –Gate length from 50nm to 75nm  Cell delay is approximately linear in gate length Delay vs. gate length (A1 to Y in 2-input AND) d: cell delay L g : gate length ,  : calibrated coefficients for each timing entry

Circuit Delay Model Directed acyclic graph (DAG) representation –Cell  vertex –Wire  edge –Super source S and super sink T 6 UCSD VLSI CAD Laboratory ISQED-2009 Delay variables d v u : cell delay w u,v : wire delay a v : arrival time to node v Delay constraint Flip-flop A Flip-flop B Q u v D S CK T

7 UCSD VLSI CAD Laboratory ISQED-2009 LP for Leakage Power Optimization Objective: −Maximize weighted sum of gate lengths (  Minimize leakage power) without degrading circuit performance D: max. delay minL v : min. gate length maxL v : max. gate length v :  Power /  Delay

8 UCSD VLSI CAD Laboratory ISQED-2009 LP for Timing Legalization Objective: −Given a design with timing violations, −Improve the worst negative slacks of the design with minimum leakage increase D: min. delay bound  : scaling parameter minL v : min. gate length maxL v : max. gate length v :  Power /  Delay

9 UCSD VLSI CAD Laboratory ISQED-2009 Timing and Leakage Optimization Flow Cell libraries Coefficients calibration LP-Solver Netlist + Parasitic + Timing const. Slew / Load / DelayTiming Graph LP-Generator Cell Swapping New Netlist Timing / leakage analysis Timing and leakage report

10 UCSD VLSI CAD Laboratory ISQED-2009 Experimental Setup Test case: 65nm technology Library preparation –65GP from TSMC (L gate = 60nm) –Multi-L gate libraries: 50nm, 60nm, 70nm –L gate biasing: 55nm, 56nm, …, 65nm –Naming convention: e.g., L60  L gate 60nm Delay and leakage evaluation –RC extraction:Synopsys STAR-RCXT (v ) –Delay: Synopsys PrimeTime (v ) –Leakage power: Cadence SOC Encounter (v5.2) Comparison –Synopsys Astro (v SP5) / Cadence SOC Encounter (v5.2)

11 UCSD VLSI CAD Laboratory ISQED-2009 Leakage Optimization with L gate -Biasing Inputs –Initial design with L60 –L gate biased libraries: from L55 to L65 Outputs –Meet timing –Better leakage –8X  16X faster runtime than SOCE SOCE AstroLP SOCEAstroLP SOCE AstroLP

12 UCSD VLSI CAD Laboratory ISQED-2009 Leakage Optimization with Multi-L gate Inputs: –Initial design with L60 –Multi-L gate libraries: L50, L60 and L70 Outputs –Meet timing –Better leakage –5X  14X faster than SOCE SOCE AstroLP SOCEAstroLP SOCE AstroLP

13 UCSD VLSI CAD Laboratory ISQED-2009 Timing Legalization with L gate Biasing Inputs –Initial design with L60 –SOCE leakage optimization  worsen timing slack –L gate biased libraries: from L55 to L65 Outputs –Turn timing slacks back or even better –Still obtains smaller leakage power than original

14 UCSD VLSI CAD Laboratory ISQED-2009 Timing Legalization with Multi-L gate Inputs –Initial design with L60 –SOCE leakage optimization  worsen timing slack –Multi-L gate libraries: L50, L60 and L70 Outputs –Turn timing slacks back or even better –Still obtains smaller leakage power than original

15 UCSD VLSI CAD Laboratory ISQED-2009 Conclusion and Ongoing Work We revisited and implemented LP-based frameworks –Leakage power minimization and timing legalization Compared with commercial tools, our work shows –Always meet timing –Better leakage power –~10X faster runtime Our methods enable very fast, high-quality power-delay tradeoff estimation and optimization Ongoing work –Larger industrial testcases –Multi-V th, multi-L gate and L gate -biasing –Timing margin and don't-touch methodologies, –Hold time –Multi-mode/multi-corner analysis –dynamic/total power constraints

THANK YOU 16 UCSD VLSI CAD Laboratory ISQED-2009