January 16, '02Agrawal: Delay testing1 Delay Testing of Digital Circuits Vishwani D. Agrawal Agere Systems, Murray Hill, NJ 07974 USA

Slides:



Advertisements
Similar presentations
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Advertisements

Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 13/12alt1 Lecture 13 Sequential Circuit ATPG Time-Frame Expansion (Lecture 12alt in the Alternative.
1 Lecture 10 Sequential Circuit ATPG Time-Frame Expansion n Problem of sequential circuit ATPG n Time-frame expansion n Nine-valued logic n ATPG implementation.
Mar. 23, 2001VLSI Test: Bushnell-Agrawal/Lecture 201 Lecture 20 Delay Test n Delay test definition n Circuit delays and event propagation n Path-delay.
1 Chapter Design For Testability The Scan-Path Technique The testing problems with sequential circuit can be overcome by two properties: 1.The.
1 Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Dept. of ECE, Auburn University Auburn, AL Hillary Grimes & Vishwani D. Agrawal.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic Simulation.
Dec 21, Design for Testability Virendra Singh Indian Institute of Science Bangalore {computer, ieee}.org IEP on Digital System.
RTL Hardware Design by P. Chu Chapter 161 Clock and Synchronization.
Lecture 20 Delay Test (Lecture 17alt in the Alternative Sequence)
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 101 Design for Testability Theory and Practice Lecture 10: DFT and Scan n Definitions n Ad-hoc methods.
1 Lecture 20 Delay Test n Delay test definition n Circuit delays and event propagation n Path-delay tests  Non-robust test  Robust test  Five-valued.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4a1 Design for Testability Theory and Practice Lecture 4a: Simulation n What is simulation? n Design.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
Copyright 2001 Agrawal & BushnellHyderabad, July 27-29, 2006 (Day 2)1 Combinational ATPG n ATPG problem n Example n Algorithms Multi-valued algebra D-algorithm.
1 Lecture 23 Design for Testability (DFT): Full-Scan n Definition n Ad-hoc methods n Scan design Design rules Scan register Scan flip-flops Scan test sequences.
Design for Testability Theory and Practice Lecture 11: BIST
Chapter 7: Testing Of Digital Circuits 1 Testing of Digital Circuits M. Balakrishnan Dept. of Comp. Sci. & Engg. I.I.T. Delhi.
Spring 08, Jan 31.. ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Timing Simulation and STA Vishwani D. Agrawal.
ELEN 468 Lecture 241 ELEN 468 Advanced Logic Design Lecture 24 Design for Testability.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
5/7/2007VTS'071 Delay Test Quality Evaluation Using Bounded Gate Delays Soumitra Bose Intel Corporation, Design Technology, Folsom, CA Vishwani D.
June 10, 20011High-speed test HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT  Available automatic test equipment (ATE) speed is MHz; VLSI chip.
10/25/2007 ITC-07 Paper Delay Fault Simulation with Bounded Gate Delay Model Soumitra Bose Design Technology, Intel Corp. Folsom, CA Hillary.
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
Spring 07, Mar 8 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Timing Verification and Optimization Vishwani D.
Vishwani D. Agrawal James J. Danaher Professor
1 Reconvergent Fanout Analysis of Bounded Gate Delay Faults Dept. of ECE, Auburn University Auburn, AL Master’s Defense Hillary Grimes Thesis Advisor:
Partial Scan Design with Guaranteed Combinational ATPG Vishwani D. Agrawal Agere Systems, Circuits and Systems Research Lab Murray Hill, NJ 07974, USA.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 20alt1 Lecture 20alt DFT: Partial, Random-Access & Boundary Scan n Definition n Partial-scan architecture.
Spring 07, Mar 1, 6 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Timing Simulation and STA Vishwani D. Agrawal.
Oct. 5, 2001Agrawal, Kim and Saluja1 Partial Scan Design With Guaranteed Combinational ATPG Vishwani D. Agrawal Agere Systems Processor Architectures and.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 241 Lecture 24 Design for Testability (DFT): Partial-Scan & Scan Variations n Definition n Partial-scan.
Jan. 11, '02Kim, et al., VLSI Design'021 Mutiple Faults: Modeling, Simulation and Test Yong C. Kim University of Wisconsin, Dept. of ECE, Madison, WI 53706,
Spring 08, Feb 6 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Timing Verification and Optimization Vishwani D.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Design for Testability (DFT) - 2.
Modern VLSI Design 3e: Chapter 5,6 Copyright  2002 Prentice Hall PTR Adapted by Yunsi Fei Topics n Sequential machine (§5.2, §5.3) n FSM construction.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
ELEC 7770 Advanced VLSI Design Spring 2014 Timing Simulation and STA Vishwani D. Agrawal James J. Danaher Professor ECE Department, Auburn University,
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Copyright 2001, Agrawal & BushnellLecture 6: Sequential ATPG1 VLSI Testing Lecture 6: Sequential ATPG n Problem of sequential circuit ATPG n Time-frame.
Functional Test of Small-Delay Faults using SAT and Craig Interpolation Presenter: Chien-Yen Kuo.
Ch.5 Logic Design Standard Cell Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology 1.
CS/EE 3700 : Fundamentals of Digital System Design
Manufacture Testing of Digital Circuits
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
TOPIC : Introduction to Sequential Circuits UNIT 1: Modeling and Simulation Module 4 : Modeling Sequential Circuits.
VLSI Testing Lecture 5: Logic Simulation
VLSI Testing Lecture 5: Logic Simulation
Vishwani D. Agrawal Department of ECE, Auburn University
Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)
Definition Partial-scan architecture Historical background
Lecture 10 Sequential Circuit ATPG Time-Frame Expansion
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Timing Analysis 11/21/2018.
CSE 370 – Winter Sequential Logic - 1
VLSI Testing Lecture 8: Sequential ATPG
Pre-Computed Asynchronous Scan Invited Talk
Garimella Srinivas Gottiparthy Ramraj Vippa Prakash
Testing in the Fourth Dimension
Vishwani D. Agrawal James J. Danaher Professor
VLSI Testing Lecture 9: Delay Test
VLSI Testing Lecture 7: Delay Test
Manufacturing Testing
VLSI Testing Lecture 13: DFT and Scan
ELEC 7770 Advanced VLSI Design Spring 2012 Timing Simulation and STA
Presentation transcript:

January 16, '02Agrawal: Delay testing1 Delay Testing of Digital Circuits Vishwani D. Agrawal Agere Systems, Murray Hill, NJ USA January 16, 2002

January 16, '02Agrawal: Delay testing2 Delay Test Definition n A circuit that passes delay test must produce correct outputs when inputs are applied and outputs observed with specified timing. n For a combinational or synchronous sequential circuit, delay test verifies the limits of delay in combinational logic. n Delay test problem for asynchronous circuits is complex and not well understood.

January 16, '02Agrawal: Delay testing3 Digital Circuit Timing Inputs Outputs time Transient region Clock period Comb. logic Output Observation instant Input Signal changes Synchronized With clock

January 16, '02Agrawal: Delay testing4 Circuit Delays n Switching or inertial delay is the interval between input change and output change of a gate: n Depends on input capacitance, device (transistor) characteristics and output capacitance of gate. n Also depends on input rise or fall times and states of other inputs (second-order effects). n Approximation: fixed rise and fall delays (or min-max delay range, or single fixed delay) for gate output. n Propagation or interconnect delay is the time a transition takes to travel between gates: n Depends on transmission line effects (distributed R, L, C parameters, length and loading) of routing paths. n Approximation: modeled as lumped delays for gate inputs.

January 16, '02Agrawal: Delay testing5 Event Propagation Delays Path P1 P2 P3 Single lumped inertial delay modeled for each gate PI transitions assumed to occur without time skew

January 16, '02Agrawal: Delay testing6 Robust Test n A robust test guarantees the detection of a delay fault of the target path, irrespective of delay faults on other paths. n A robust test is a combinational vector-pair, V1, V2, that satisfies following conditions: n Produce real events (different steady-state values for V1 and V2) on all on-path signals. n All on-path signals must have controlling events arriving via the target path. n A robust test is also a non-robust test. n Concept of robust test is general – robust tests for other fault models can be defined.

January 16, '02Agrawal: Delay testing7 A Five-Valued Algebra n Signal States: S0, U0 (F0), S1, U1 (R1), XX. n On-path signals: F0 and R1. n Off-path signals: F0=U0 and R1=U1. S0 U0 S1 U1 XX S0 S0 S0 U0 S0 U0 U0 U0 U0 S1 S0 U0 S1 U1 XX U1 S0 U0 U1 U1 XX XX S0 U0 XX XX XX Input 1 Input 2 S0 U0 S1 U1 XX S0 S0 U0 S1 U1 XX U0 U0 U0 S1 U1 XX S1 S1 S1 U1 U1 U1 S1 U1 U1 XX XX XX S1 U1 XX Input 1 Input 2 Input S0 U0 S1 U1 XX S1 U1 S0 U0 XX AND OR NOT Ref.: Lin-Reddy IEEETCAD-87

January 16, '02Agrawal: Delay testing8 Non-Robust Test Generation R1 U0 XX U1 U0 R1 Path P2 Fault P2 – rising transition through path P2 has no robust test. R1 XX A. Place R1 at path origin B. Propagate R1 through OR gate; interpreted as U1 on off-path signal; propagates as U0 through NOT gate D. R1 propagates through OR gate since off-path input is U0 C. Set input of AND gate to propagate R1 to output Non-robust test: U1, R1, U0 U1 Non-robust test requires Static sensitization: S0=U0, S1=U1

January 16, '02Agrawal: Delay testing9 Path-Delay Faults (PDF) n Two PDFs (rising and falling transitions) for each physical path. n Total number of paths is an exponential function of gates. Critical paths, identified by static timing analysis (e.g., Primetime from Synopsys), must be tested. n PDF tests are delay-independent. Robust tests are preferred, but some paths have only non-robust tests. n Three types of PDFs (Gharaybeh, et al., JETTA (11), 1997): n Singly-testable PDF – has a non-robust or robust test. n Multiply-testable PDF – a set of singly untestable faults that has a non-robust or robust test. Also known as functionally testable PDF. n Untestable PDF – a PDF that is neither singly nor multiply testable. n A singly-testable PDF has at least one single-input change (SIC) non-robust test.

January 16, '02Agrawal: Delay testing10 Slow-Clock Test Input test clock Output test clock Combinational circuit Input latches Output latches Input test clock Output test clock V1 applied V2 applied Output latched Test clock period Rated clock period

January 16, '02Agrawal: Delay testing11 Normal-Scan Test Combinational circuit SFF PI PO SCANIN SCAN- OUT CK TC CK: system clock TC: test control SFF: scan flip-flop Rated CK period Normal mode TC (A) Scan mode V1 PIs applied V2 PIs applied Scanin V1 states Result latched Result scanout V2 states generated, (A) by one-bit scan shift of V1, or (B) by V1 applied in functional mode. Scan mode Normal mode TC (B) Scan mode Slow CK period t Gen. V2 states Path tested Slow clock

January 16, '02Agrawal: Delay testing12 Variable-Clock Sequential Test T 1 PI PO T n-2 PI PO T n-1 PI PO T n+1 PI PO T n+m PI PO T n PI PO Initialization sequence (slow clock) Path activation (rated Clock) Fault effect propagation sequence (slow clock) D Off-path flip-flop Note: Slow-clock makes the circuit fault-free in the presence of delay faults.

January 16, '02Agrawal: Delay testing13 At-Speed Test n At-speed test means application of test vectors at the rated-clock speed. n Two methods of at-speed test. n External test: n Vectors may test one or more functional critical (longest delay) paths and a large percentage (~100%) of transition faults. n High-speed testers are expensive. n Built-in self-test (BIST): n Hardware-generated random vectors applied to combinational or sequential logic. n Only clock is externally supplied. n Non-functional paths that are longer than the functional critical path can be activated and cause a good circuit to fail. n Some circuits have initialization problem.

January 16, '02Agrawal: Delay testing14 Timing Design & Delay Test n Timing simulation: n Critical paths are identified by static (vector-less) timing analysis tools like Primetime (Synopsys). n Timing or circuit-level simulation using designer- generated functional vectors verifies the design. n Layout optimization: Critical path data are used in placement and routing. Delay parameter extraction, timing simulation and layout are repeated for iterative improvement. n Testing: Some form of at-speed test is necessary. PDFs for critical paths and all transition faults are tested.

January 16, '02Agrawal: Delay testing15 Conclusion n Path-delay fault (PDF) models distributed delay defects. It verifies the timing performance of a manufactured circuit. n Transition fault models spot delay defects and is testable by modified stuck-at fault tests. n Variable-clock method can test delay faults but the test time may be long. n Critical paths of non-scan sequential circuits can be effectively tested by rated-clock tests. n Delay test methods (including BIST) for non-scan sequential circuits using slow ATE require investigation: n Suppression of non-functional path activation in BIST. n Difficulty of rated-clock PDF test generation. n Long sequences of variable-clock tests.