Practically Realizing Random Access Scan Anand S. Mudlapur Department of Electrical and Computer Engineering Auburn University, AL 36849 USA.

Slides:



Advertisements
Similar presentations
Retiming Scan Circuit To Eliminate Timing Penalty
Advertisements

Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Weighted Random and Transition Density Patterns for Scan-BIST Farhana Rashid* Vishwani D. Agrawal Auburn University ECE Department, Auburn, Alabama
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
1 A Random Access Scan Architecture to Reduce Hardware Overhead Anand S. Mudlapur Vishwani D. Agrawal Adit D. Singh Department of Electrical and Computer.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 13/12alt1 Lecture 13 Sequential Circuit ATPG Time-Frame Expansion (Lecture 12alt in the Alternative.
Mar. 23, 2001VLSI Test: Bushnell-Agrawal/Lecture 201 Lecture 20 Delay Test n Delay test definition n Circuit delays and event propagation n Path-delay.
A Robust, Fast Pulsed Flip- Flop Design By: Arunprasad Venkatraman Rajesh Garg Sunil Khatri Department of Electrical and Computer Engineering, Texas A.
Digital Logic Chapter 5 Presented by Prof Tim Johnson
Dec 21, Design for Testability Virendra Singh Indian Institute of Science Bangalore {computer, ieee}.org IEP on Digital System.
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 101 Design for Testability Theory and Practice Lecture 10: DFT and Scan n Definitions n Ad-hoc methods.
1 Lecture 20 Delay Test n Delay test definition n Circuit delays and event propagation n Path-delay tests  Non-robust test  Robust test  Five-valued.
Nitin Yogi and Vishwani D. Agrawal Auburn University Auburn, AL 36849
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Dynamic SCAN Clock control In BIST Circuits
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
X-Compaction Itamar Feldman. Before we begin… Let’s talk about some DFT history: Design For Testability (DFT) has been around since the 1960s. The technology.
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
5/1/2006VTS'061 Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Vishwani D. Agrawal Auburn University, Dept. of ECE, Auburn,
1 Lecture 23 Design for Testability (DFT): Full-Scan n Definition n Ad-hoc methods n Scan design Design rules Scan register Scan flip-flops Scan test sequences.
4/28/05Vemula: ELEC72501 Enhanced Scan Based Flip-Flop for Delay Testing By Sudheer Vemula.
Practically Realizing Random Access Scan By Anand Mudlapur ECE Dept. Auburn University.
Low Power Implementation of Scan Flip-Flops Chris Erickson Graduate Student Department of Electrical and Computer Engineering Auburn University, Auburn,
ELEN 468 Lecture 241 ELEN 468 Advanced Logic Design Lecture 24 Design for Testability.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Jan. 9, 2007 VLSI Design Conference Spectral RTL Test Generation for Microprocessors Nitin Yogi and Vishwani D. Agrawal Auburn University Department.
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
Vishwani D. Agrawal James J. Danaher Professor
January 16, '02Agrawal: Delay testing1 Delay Testing of Digital Circuits Vishwani D. Agrawal Agere Systems, Murray Hill, NJ USA
Aug. 13, 2005Mudlapur et al.: VDAT'051 A Novel Random Access Scan Flip-Flop Design Anand S. Mudlapur Vishwani D. Agrawal (Speaker) Adit D. Singh Department.
Partial Scan Design with Guaranteed Combinational ATPG Vishwani D. Agrawal Agere Systems, Circuits and Systems Research Lab Murray Hill, NJ 07974, USA.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 20alt1 Lecture 20alt DFT: Partial, Random-Access & Boundary Scan n Definition n Partial-scan architecture.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 241 Lecture 24 Design for Testability (DFT): Partial-Scan & Scan Variations n Definition n Partial-scan.
ELEN 468 Lecture 251 ELEN 468 Advanced Logic Design Lecture 25 Built-in Self Test.
Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing Baohu Li and Vishwani D. Agrawal Auburn University, ECE Dept., Auburn, AL 36849, USA.
Spring 07, Jan 30 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 SOC Test Scheduling Vishwani D. Agrawal James.
1 Enhancing Random Access Scan for Soft Error Tolerance Fan Wang* Vishwani D. Agrawal Department of Electrical and Computer Engineering, Auburn University,
Design for Testability
Digital Testing: Scan-Path Design
Testimise projekteerimine: Labor 2 BIST Optimization
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Design for Testability (DFT) - 2.
August VLSI Testing and Verification Shmuel Wimer Bar Ilan University, School of Engineering.
CSE477 L28 DFT.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 28: Design for Test Mary Jane Irwin ( )
PRAVEEN VENKATARAMANI VISHWANI D. AGRAWAL Auburn University, Dept. of ECE Auburn, AL 36849, USA 26 th International.
Logic BIST Logic BIST.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
SEQUENTIAL CIRCUITS Component Design and Use. Register with Parallel Load  Register: Group of Flip-Flops  Ex: D Flip-Flops  Holds a Word of Data 
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
November 25Asian Test Symposium 2008, Nov 24-27, Sapporo, Japan1 Sequential Circuit BIST Synthesis using Spectrum and Noise from ATPG Patterns Nitin Yogi.
ELEC 7950 – VLSI Design and Test Seminar
July 10, th VLSI Design and Test Symposium1 BIST / Test-Decompressor Design using Combinational Test Spectrum Nitin Yogi Vishwani D. Agrawal Auburn.
VLSI Testing Lecture 14: Built-In Self-Test
Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)
Definition Partial-scan architecture Historical background
Lecture 13 Sequential Circuit ATPG Time-Frame Expansion
ME2500 DESIGN FOR TESTABILITY [Slide 3] DfT Structures for Delay Testing BY DREAMCATCHER
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
VLSI Testing Lecture 8: Sequential ATPG
Pre-Computed Asynchronous Scan Invited Talk
Testing in the Fourth Dimension
VLSI Testing Lecture 9: Delay Test
VLSI Testing Lecture 7: Delay Test
Lecture 26 Logic BIST Architectures
VLSI Testing Lecture 13: DFT and Scan
A Random Access Scan Architecture to Reduce Hardware Overhead
Presentation transcript:

Practically Realizing Random Access Scan Anand S. Mudlapur Department of Electrical and Computer Engineering Auburn University, AL USA

11/15/2005MS Thesis Defence2 Motivation for This Work Serial scan (SS) test sequence lengths and test power consumption are increasing rapidly. –Reduction of test power and test time are complementary objectives in serial scan. Scope of increasing delay fault coverage is limited in serial scan. In spite of the advantages (test time, test volume, test power, and ease of testing for delay faults), random access scan (RAS) is not popular due to high overhead.

11/15/2005MS Thesis Defence3 Outline Introduction to scan based testing –Advantages –Limitations Introduction to RAS Design of a new toggle RAS Flip-Flop Highlight the uniqueness and feasibility of our design due to the reduction of two global signals

11/15/2005MS Thesis Defence4 Outline (contd.) A new scan-out structure Analytical formulation of hardware overhead Algorithm to compact test vectors ATPG targeted for toggle RAS Results on ISCAS Benchmark Circuits Case study on an industrial circuit Conclusion and future work

11/15/2005MS Thesis Defence5 Serial Scan: Most Popular DFT Method Combinational Circuit FF Scan-inScan-out PIPO Test control (TC)

11/15/2005MS Thesis Defence6 Introduction to Serial Scan (contd.) Advantage: Enables application of combinational vectors to sequential circuits Problems: –Clock cycles prohibitive as number of flip-flops increases –Scan-in often performed at a slow scan clock compared to functional clock of the circuit –Scan-in and scan-out cause undesirable circuit activity resulting in excessive power dissipation

11/15/2005MS Thesis Defence7 Test Power and Time of Serial Scan Test power may exceed critical design limits. All flip-flops are controlled and observed although a test may need those operation only on a subset of flip-flops. Example: A circuit with 5,000 Flip-Flops and 10,000 combinational test vectors Total scan cycles = 5,000 × 10, , ,000 50,015,000 ! = 50,015,000 !

11/15/2005MS Thesis Defence8 Solutions for Test Time Problem of Serial Scan Partial scan [Agrawal et. al. 88] provides a trade off between ease of test generation and hardware cost of scan. Test power may still be a concern. Vector compaction [Touba et. al. 00], may cause increased circuit activity resulting in higher power consumption. Cross-Check [Gheewala et. al. 91] was a comprehensive test method for sequential circuits but the technology required dedicated routing layers for test wiring.

11/15/2005MS Thesis Defence9 Cross-Check A grid architecture as shown in the adjoining figure Flip-flops contents read out row-wise Data from the flip- flops fed into a MISR

11/15/2005MS Thesis Defence10 Solutions for Test Power Problems of Serial Scan Test scheduling for SOCs using power constraint [Chou et. al. 91]: Test parallelism reduces, increasing the test time. Slow scan-clock [Chandra et. al. 94]: Test time increases. ATPG based methods [Wang et. al. 94, Kajihara et. al. 02]: Result in lengthy test sequences. Contd.

11/15/2005MS Thesis Defence11 Further Solutions for Test Power (contd.) Modification of the order of scan cells or inserting inversion logic between scan cells after the test generation [Dabholkar et al. 98]; limited effect on test power. Blocking hardware methods: Hold latch, blocking gates; have additional overhead.

11/15/2005MS Thesis Defence12 Delay Testing in Serial Scan Delay testing in serial scan is highly constrained; may result in low fault coverage. Enhanced scan flip-flops can make the application of arbitrary vectors possible. This technique requires a hold-latch connected to each Flip-Flop in addition to a “HOLD” signal routed to every hold latch resulting in increased area overhead and signal delay in the scan path.

11/15/2005MS Thesis Defence13 Delay Testing in Serial Scan Combinational Circuit SFF PIPO HL Scan-out HOLD Scan-in CKTC CKTC CK TC HOLD V1 s-in V2 state scan-in Scan-out V1V2 Test result latched V1 settles

11/15/2005MS Thesis Defence14 Introduction to RAS Random Access Scan (RAS) offers a single solution to the problems faced by serial scan (SS): –Each RAS cell is uniquely addressable for read and write. –RAS addresses both test application time and test power problems simultaneously Previous and current publications on RAS: Ando, COMPCON -80 Wagner, COMPCON -83 Ito, DAC -90 Baik et al., VLSI Design -04, ITC -05, ATS -05, VLSI Design -06 Mudlapur et al., VDAT -05, ITC-05 Disadvantage: High routing overhead – test control, address and scan-in signals must be routed to all flip-flops.

11/15/2005MS Thesis Defence15 Contributions of Present Work Eliminate scan-in signal from circuit by using a new toggling RAS flip-flop. Eliminate test control signal to flip- flops. Provide a new scan-out architecture: –A hierarchical scan-out bus –An option of multi-cycle scan-out

11/15/2005MS Thesis Defence16 Random Access Scan (RAS) During every test, only a subset of all Flip-flops needs to be set and observed for testing the targeted faults Combinational Circuit FF PIPO Scan-out bus bus Decoder AddressInputs Scan-in TC These signals are eliminated in our design

11/15/2005MS Thesis Defence17 Conventional RAS MS Clock Combinational Logic Data Address Decoder Combinational Logic Data Logic Data RAS-FF MUXMUX MUXMUX Address Register Scan-in Mode ACLK

11/15/2005MS Thesis Defence18 New “Toggle” RAS Flip-Flop MS Clock MUXMUX Combinational Logic Data Row Decoder Column Decoder Combinational Logic Data Logic Data To Output BUS Address (log 2 n ff ) y x √n ff Lines RAS-FF 0 1 OutputBUSControl

11/15/2005MS Thesis Defence19 Toggle RAS Flip-Flop Operation FunctionClock Address decoder outputs Row (x)Column (y) Normal DataActive00 Toggle Data Inactive1Active Clock InactiveActive Clock1 Hold Data Inactive

11/15/2005MS Thesis Defence20 Toggle Flip-Flop Operation (contd.) RAS FF 1 Unaddressed FFs Addressed FF RAS FF 0 Decoded address lines RAS FF 0 RAS FF 1 x4 y1 y2 y3

11/15/2005MS Thesis Defence21 Macro Level Idea of Signals to RAS-FF x1 x2 x3 x4 y1y2y3y4 RAS FF11 RAS FF14 RAS FF12 RAS FF13 RAS FF11 RAS FF14 RAS FF12 RAS FF13 RAS FF21 RAS FF24 RAS FF22 RAS FF23 RAS FF31 RAS FF32 RAS FF33 RAS FF34 RAS FF41 RAS FF42 RAS FF43 RAS FF44 To Next Level RAS FF22 4-to-1 Scan-out Macrocell

11/15/2005MS Thesis Defence22 Scan-out Macrocell A 4x4 block scan-out data flow and control logic D-FFs may be inserted at the two outputs of macrocell for multi-cycle scan-out. To Next Level Output BUS Control Signal to Next Level BUS Data Bus From 4 RAS FFs { Control From 4 RAS FFs

11/15/2005MS Thesis Defence23 Routing of Decoder Signals in RAS COLUMN DECODER ROWDECODERROWDECODER Flip-Flops Placed on a Grid StructureAddress (log 2 √n ff ) (log 2 √ n ff ) Address

11/15/2005MS Thesis Defence24 Gate Area Overhead Gate area overhead of Serial Scan = Gate area overhead of Random Access Scan = where n ff – Number of Flip-Flops where n ff – Number of Flip-Flops n g – Number of Gates Assumption: D-FF contains 10 logic gates.

11/15/2005MS Thesis Defence25 Gate Area Overhead (Examples) 1. A circuit with 100,000 gates and 5,000 FFs Gate overhead of serial scan = 13.3 % Gate overhead of RAS = 20.0 % (Typical example from an industrial circuit. Details in later slide) 2. A circuit with 500,000 gates and 5,000 FFs Gate overhead of serial scan = 3.6 % Gate overhead of RAS = 5.5 %

11/15/2005MS Thesis Defence26 Overhead in Terms of Transistors Transistor overhead of Serial Scan = Transistor overhead of Random Access Scan = Where n t is number of transistors in comb. logic. D-flip-flop (28 transistors), serial scan FF (28+10) and RAS FF (28+26) were designed in 0.5μ CMOS technologyusing Mentor Graphics Design Architect. technology using Mentor Graphics Design Architect.

11/15/2005MS Thesis Defence27 Algorithm to Compact Test Vectors Obtain the combinational vectors along with good circuit responses and store the results in a stack Find the Flip-Flops where the faults are propagated at each vector While number of vectors > 0 or remaining faults > 0 – Read all Flip-Flops where the faults are detected – Choose the next vector from stack that is at least hamming distance from current Flip-Flop states End While

11/15/2005MS Thesis Defence28 RAS-FF Compaction of Test Vectors Combinational Circuit RAS-FF PIPO Scan-out bus bus Decoder AddressInputs Stack

11/15/2005MS Thesis Defence29 Test Time

11/15/2005MS Thesis Defence30 Test Power

11/15/2005MS Thesis Defence31 Case Study on an Industrial Circuit A case study on an industry circuit was performed at Texas Instruments India Pvt. Ltd. The preliminary results were as follows: 1.The gate area overhead of RAS for a chip with ~5500 Flip-Flops and ~100,000 NAND equivalent gates was of the order of 18%. 2.4X reduction in test time was estimated. A speed- up of up to 10X was considered possible using ATPG heuristics. 3.Estimated routing and device area overhead of RAS in physical layout was 10.4%.

11/15/2005MS Thesis Defence32 Conclusion New design of a “Toggle” Flip-Flop reduces the RAS routing overhead. Proposed RAS architecture with new FF has several other advantages: –Algorithmic minimization reduces test cycles by 60%. –Power dissipation during test is reduced by 99%. A novel RAS scan-out method presented. For details on “Toggle” Flip-Flop, see Mudlapur et al., VDAT -05.

11/15/2005MS Thesis Defence33 Backup Slides

Thank you!