Signal Processing Using Digital Technology Jeremy Barsten Jeremy Stockwell December 10, 2002 Advisors: Dr. Thomas Stewart Dr. Vinod Prasad.

Slides:



Advertisements
Similar presentations
© 2003 Xilinx, Inc. All Rights Reserved Course Wrap Up DSP Design Flow.
Advertisements

Carry Lookahead Homework
Reliable Data Processor in VLSI
Introduction So far, we have studied the basic skills of designing combinational and sequential logic using schematic and Verilog-HDL Now, we are going.
Distributed Arithmetic
University Of Vaasa Telecommunications Engineering Automation Seminar Signal Generator By Tibebu Sime 13 th December 2011.
Lecture Adders Half adder.
Arithmetic Operations and Circuits
Wireless Terminal and PC Interface Using VLSI EE451 - Senior Project Members: Chris Brophy Matt Olinger Advisor: Dr. V. Prasad 12/11/01.
Parallel Adder Recap To add two n-bit numbers together, n full-adders should be cascaded. Each full-adder represents a column in the long addition. The.
ECE 331 – Digital System Design
UNIVERSITY OF MASSACHUSETTS Dept
6/11/2015 Adaptive Hardware Design for Digital Signal Processing Advisor: Dr. Thomas L. Stewart By: Prabjot Kaur Alex Tan.
EE 141 Project 2May 8, Outstanding Features of Design Maximize speed of one 8-bit Division by: i. Observing loop-holes in 8-bit division ii. Taking.
EECS Components and Design Techniques for Digital Systems Lec 18 – Arithmetic II (Multiplication) David Culler Electrical Engineering and Computer.
Performed by : Rivka Cohen and Sharon Solomon Instructor : Walter Isaschar המעבדה למערכות ספרתיות מהירות High Speed Digital Systems Laboratory הטכניון.
ECE C03 Lecture 61 Lecture 6 Arithmetic Logic Circuits Hai Zhou ECE 303 Advanced Digital Design Spring 2002.
Analog-to-Digital Converters
Chapter # 5: Arithmetic Circuits Contemporary Logic Design Randy H
DSP Implementation of a 1961 Fender Champ Amplifier James Siegle Advisor: Dr. Thomas L. Stewart March 11, 2003.
Wireless Terminal and PC Interface Using VLSI EE452 - Senior Project Members: Chris Brophy Matt Olinger Advisor: Dr. V. Prasad 5/2/02.
February 4, 2002 John Wawrzynek
Distributed Arithmetic: Implementations and Applications
ECE 301 – Digital Electronics
Copyright 2008 Koren ECE666/Koren Part.6a.1 Israel Koren Spring 2008 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer.
7/13/2015 SENIOR PROJECT STUDENT:RICARDO V. GONZALEZ. ADVISOR: VINOD B. PRASAD.
Robotic Arm Controller A VLSI Implementation Team: Justin Hamann & Dave McNamara Team: Justin Hamann & Dave McNamara Advisor: Dr. Vinod Prasad Advisor:
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
Arithmetic Operations and Circuits
CS 105 Digital Logic Design
DARPA Digital Audio Receiver, Processor and Amplifier Group Z James Cotton Bobak Nazer Ryan Verret.
Chapter 6-2 Multiplier Multiplier Next Lecture Divider
A Bit-Serial Method of Improving Computational Efficiency of Dot-Products 1.
Logical Circuit Design Week 8: Arithmetic Circuits Mentor Hamiti, MSc Office ,
Chapter 8 Problems Prof. Sin-Min Lee Department of Mathematics and Computer Science.
Chapter # 5: Arithmetic Circuits
5-1 Programmable and Steering Logic Chapter # 5: Arithmetic Circuits.
EECS Components and Design Techniques for Digital Systems Lec 16 – Arithmetic II (Multiplication) David Culler Electrical Engineering and Computer.
EKT 221/4 DIGITAL ELECTRONICS II  Registers, Micro-operations and Implementations - Part3.
Senior Project Presentation: Designers: Shreya Prasad & Heather Smith Advisor: Dr. Vinod Prasad May 6th, 2003 Internal Hardware Design of a Microcontroller.
Low-Power and Area-Efficient Carry Select Adder on Reconfigurable Hardware Presented by V.Santhosh kumar, B.Tech,ECE,4 th Year, GITAM University Under.
Chap 5. Registers and Counters. Chap Definition of Register and Counter l a clocked sequential circuit o consist of a group of flip-flops & combinational.
Combinational Circuits
Lopamudra Kundu Reg. No. : of Roll No.:- 91/RPE/ Koushik Basak
ECE 331 – Digital System Design Multi-bit Adder Circuits, Adder/Subtractor Circuit, and Multiplier Circuit (Lecture #12)
C-H1 Lecture Adders Half adder. C-H2 Full Adder si is the modulo- 2 sum of ci, xi, yi.
Department of Communication Engineering, NCTU 1 Unit 4 Arithmetic and Logic Units.
1 Fundamentals of Computer Science Combinational Circuits.
Chapter 4 Register Transfer and Microoperations Dr. Bernard Chen Ph.D. University of Central Arkansas Spring 2010.
6.111 Final Project A motion sensor baseball game By Chris Falling and JinHock Ong.
1 Lecture 14 Binary Adders and Subtractors. 2 Overview °Addition and subtraction of binary data is fundamental Need to determine hardware implementation.
ECE DIGITAL LOGIC LECTURE 15: COMBINATIONAL CIRCUITS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 10/20/2015.
Comparison of Various Multipliers for Performance Issues 24 March Depart. Of Electronics By: Manto Kwan High Speed & Low Power ASIC
UNIT 2. ADDITION & SUBTRACTION OF SIGNED NUMBERS.
EEL 5722 FPGA Design Fall 2003 Digit-Serial DSP Functions Part I.
Logic Design (CE1111 ) Lecture 4 (Chapter 4) Combinational Logic Prepared by Dr. Lamiaa Elshenawy 1.
ETE 204 – Digital Electronics Combinational Logic Design Single-bit and Multiple-bit Adder Circuits [Lecture: 9] Instructor: Sajib Roy Lecturer, ETE,ULAB.
Gunjeet Kaur Dronacharya Group of Institutions. Binary Adder-Subtractor A combinational circuit that performs the addition of two bits is called a half.
PRESENTATION Carry Look Ahead Adder.
Lecture 3 ALU and Carry Generator using FPGA 2007/09/21 Prof. C.M. Kyung.
Combinational Circuits
Array multiplier TU/e Processor Design 5Z032.
UNIVERSITY OF MASSACHUSETTS Dept
Reference: Moris Mano 4th Edition Chapter 4
ECE 434 Advanced Digital System L13
ECE 331 – Digital System Design
UNIVERSITY OF MASSACHUSETTS Dept
UNIVERSITY OF MASSACHUSETTS Dept
♪ Embedded System Design: Synthesizing Music Using Programmable Logic
Presentation transcript:

Signal Processing Using Digital Technology Jeremy Barsten Jeremy Stockwell December 10, 2002 Advisors: Dr. Thomas Stewart Dr. Vinod Prasad

Digital Signal Processor Project Description Preliminary Work Research Design Standards and Patents Goals Schedule

Project Description All purpose digital signal processor using FPGA/VHDL and ASIC/VLSI technology. Useable for a variety of applications: Audio and Video Cellular Technology Adapted depending on the application.

Project Description High-level Block Diagram: InputProcessedSignal DIGITAL SIGNAL PROCESSOR

Filter Design Manipulating a digital input utilizing multipliers and adders. Direct Form II realization of an IIR Filter: X(n) w(n) b 0 y(n) -a 1 b 1 -a 2 b 2 w(n-1) w(n-2) Z -1

Signal Converters Each signal will be analog in nature. Requires an analog-to-digital converter at the input stage and a digital-to- analog converter at the output stage. Type of converter will be determined later.

Adder and Multiplier Any basic signal processor consists of different stages of addition and multiplication. A n-bit by n-bit multiplication will take place and result in a 2*n-bit value. This answer will be added to previous values stored in a data register (discussed later).

Adder and Multiplier Cellular Multiplication: a 3 a 2 a 1 a 0 b 0 b 1 b 2 b 3 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0

Adder and Multiplier For 2’s compliment addition and multiplication: Cellular Array 2’s Complement Block 2’s B L O C K

Adder and Multiplier x(n)-a 1 *w(n-1) -a 1 -a 2 w(n-1) w(n-2) x(n) x(n)-a 1 *w(n-1) Shows the consecutive steps in the addition, multiplication, and data management. 16-Bit Multiplier 32-bit Adder/Subtractor Memory Management 16-Bit Multiplier Memory Management 32-bit Adder/Subtractor

Data Management Need to store old calculated values for later use. Need a “shift-and-store” type of data management. Will deliver the correct data at the appropriate time.

Data Management The possibility of overflow exists in the addition and multiplication stage. For this reason, a truncation circuit is needed to control and adjust the value of the adder/multiplier circuit. Will also use truncation before sending out the final signal.

Preliminary Work Investigation of Xilinx compiler. Ripple carry adder v. Carry look ahead adder. Parallel multiplier v. Serial multiplier.

* 16-bit ripple carry adder will have 34 gate delays Ripple Carry Adder Ripple Carry Adder

CLA Adder * 16-bit CLA adder will have 10 gate delays

Xilinx Implementation Implemented both adders using VHDL. Created simple adder in VHDL (a+b=c). Compared results to see what type of adder was created by the compiler. Results: CLA AdderRipple Adder Simple Adder Delay11.05 ns22.43 ns11.05ns Checked results using FPGA board.

Multiplier Advantages and disadvantages of using a parallel multiplier v. a serial multiplier. Investigate the feasibility of using a Parallel Multiplier. Speed v. Area

Signed Serial Multiplier For a signed serial multiplier, it is necessary to sign extend. For Example (-3 x 5): 1101(-3) x 0101(+5) (-15)

Signed Serial Multiplier Sign Extension Shift Register Adder/Subtractor Register A B Product

Area v. Speed Implemented Serial adder and simple AxB adder in VHDL.

Area v. Delay (serial)

Area v. Delay (parallel)

Other Multiplier Options It is possible to use a combination of parallel and serial multipliers. For example it is possible to use two 8- bit parallel multipliers in series which will double the delay but will save space.

Standards and Patents Searched the Internet for standards and patents on digital signal processors. Many of the standards were application specific. Could not really find specific standards on digital signal processors.

Hardware and Software Programs Xilinx Foundation Software Leonardo Spectrum L-Edit Pro v8.2 PSpice Circuit Simulation Xilinx XC4005ePC84 FPGA Board A/D and D/A converters

Project Goals Determine best trade-off between size and speed for the adder/multiplier circuit. VLSI implementation of a 4-bit adder and multiplier. Determine the number of bits used in our processor to ensure stability. Complete data management and truncation block of the processor. Decide on specific application.

Project Schedule January/February: Complete adder/multiplier in VHDL and implement on the FPGA board. Complete VLSI design of adder/multiplier circuit. March: Determine specific application and design the appropriate filter. April: Complete data management and truncation block. Implement entire design on FPGA board and troubleshoot any errors that arise. May: Prepare final presentation and possibly present at Student Expo.

Signal Processing Using Digital Technology Jeremy Barsten Jeremy Stockwell December 10, 2002 Advisors: Dr. Thomas Stewart Dr. Vinod Prasad