Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania 18042 ECE 425 - VLSI Circuit Design Lecture 21 - Floorplanning.

Slides:



Advertisements
Similar presentations
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
Advertisements

Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
Ch.7 Layout Design Standard Cell Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics High-level synthesis. Architectures for low power. GALS design.
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Clock Design Adopted from David Harris of Harvey Mudd College.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 11 - Combinational.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 7 - Combinational.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 9 - Combinational.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 5 - Hierarchical.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 24 - Subsystem.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 20 - Chip-Level.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 21: April 15, 2009 Routing 1.
VLSI Routing. Routing Problem  Given a placement, and a fixed number of metal layers, find a valid pattern of horizontal and vertical wires that connect.
Routing 1 Outline –What is Routing? –Why Routing? –Routing Algorithms Overview –Global Routing –Detail Routing –Shortest Path Algorithms Goal –Understand.
Evolution of implementation technologies
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 19: April 9, 2008 Routing 1.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 14 - Testing.
CSE 144 Project Part 2. Overview Multiple rows Routing channel between rows Components of identical height but various width Goal: Implement a placement.
Placement-Centered Research Directions and New Problems Xiaojian Yang Amir Farrahi Synplicity Inc.
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
General Routing Overview and Channel Routing
VLSI Physical Design Automation
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
Area-I/O Flip-Chip Routing for Chip-Package Co-Design Progress Report 方家偉、張耀文、何冠賢 The Electronic Design Automation Laboratory Graduate Institute of Electronics.
Module-3 (MOS designs,Stick Diagrams,Designrules)
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
Lecture 2: Field Programmable Gate Arrays September 13, 2004 ECE 697F Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
ESE Spring DeHon 1 ESE534: Computer Organization Day 19: April 7, 2014 Interconnect 5: Meshes.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Standard cell-based layout. n Channel routing. n Simulation.
Global Routing.
CAD for Physical Design of VLSI Circuits
10/7/ VLSI Physical Design Automation Prof. David Pan Office: ACES Lecture 6. Floorplanning (1)
ASIC Design Flow – An Overview Ing. Pullini Antonio
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Layouts for logic networks. n Channel routing. n Simulation.
Modern VLSI Design 3e: Chapters 1-3 week12-1 Lecture 30 Scale and Yield Mar. 24, 2003.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Modern VLSI Design 2e: Chapter 7 Copyright  1998 Prentice Hall PTR Topics n Block placement. n Global routing. n Switchbox routing.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
An Unobtrusive Debugging Methodology for Actel AX and RTAX-S FPGAs Jonathan Alexander Applications Consulting Manager Actel Corporation MAPLD 2004.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
4. Combinational Logic Networks Layout Design Methods 4. 2
Modern VLSI Design 3e: Chapter 10 Copyright  1998, 2002 Prentice Hall PTR Topics n CAD systems. n Simulation. n Placement and routing. n Layout analysis.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Layouts for logic networks. n Channel routing. n Simulation.
ECE 260B – CSE 241A /UCB EECS Kahng/Keutzer/Newton Physical Design Flow Read Netlist Initial Placement Placement Improvement Cost Estimation Routing.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
CALTECH CS137 Winter DeHon CS137: Electronic Design Automation Day 13: February 20, 2002 Routing 1.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 13: March 3, 2015 Routing 1.
EE141 © Digital Integrated Circuits 2nd Devices 1 Goal of this lecture  Present understanding of device operation  nMOS/pMOS as switches  How to design.
Detailed Routing مرتضي صاحب الزماني.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Subject : CAD For VLSI (7CS4) 1 Unit 5 Floor-planning, Placement & Routing.
VLSI Floorplanning and Planar Graphs prepared and Instructed by Shmuel Wimer Eng. Faculty, Bar-Ilan University July 2015VLSI Floor Planning and Planar.
An Exact Algorithm for Difficult Detailed Routing Problems Kolja Sulimma Wolfgang Kunz J. W.-Goethe Universität Frankfurt.
CALTECH CS137 Fall DeHon 1 CS137: Electronic Design Automation Day 21: November 28, 2005 Routing 1.
VLSI Physical Design Automation
Partial Reconfigurable Designs
ASIC Design Methodology
ECE 565 VLSI Chip Design Styles
We will be studying the architecture of XC3000.
ESE535: Electronic Design Automation
332:578 Deep Submicron VLSI Design Lecture 14 Design for Clock Skew
Presentation transcript:

Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 21 - Floorplanning Spring, 2007

ECE 425 Spring 2007Lecture 21 - Floorplanning2 Announcements  Reading  Book:  Where We Are  Last Time: Packaging I/O Pads MOSIS Pad Cells and the Project Pad Frame  Today Floorplanning

ECE 425 Spring 2007Lecture 21 - Floorplanning3 Floorplanning Overview  Floorplanning determines  Shape & placement of major blocks  Power/Ground Network Design  Clock Network Design  General Wiring Design data path RAM std cell

ECE 425 Spring 2007Lecture 21 - Floorplanning4 Purposes of Floorplanning  Early in design:  Determine placement, shape, & orientation of blocks  Budget: Area: block, wiring Delay  Negotiate tradeoffs between blocks (exploit flexibility in modules)  Late in design:  Use as guideline for chip assembly  Make sure the pieces fit together as planned  Make sure wiring is completed successfully

ECE 425 Spring 2007Lecture 21 - Floorplanning5 Floorplanning Concerns  Assign locations to blocks of different shapes and sizes  Wiring Concerns  Location of routing regions  Power/Ground Wiring  Clock Wiring  General connection wiring  Special case of the placement problem

ECE 425 Spring 2007Lecture 21 - Floorplanning6 Block Placement  Blocks have:  Area - usually fixed  Aspect ratio - often changeable  Orientation - may be rotated, reflected  Location - physical location on chip  Goal: find a placement of all blocks that “fits”

ECE 425 Spring 2007Lecture 21 - Floorplanning7 Blocks and Wiring  Cannot ignore wiring during block placement  Large wiring areas may force rearrangement of blocks.  Wiring plan must consider area and delay of critical signals.  Blocks divide wiring area into routing channels.

ECE 425 Spring 2007Lecture 21 - Floorplanning8 Demonstration - Simplified Floorplanning Applet  Blocks may be rotated, reflected  Wirelength estimated by “rat’s nest”  One possible algorithm: simulated annealing

ECE 425 Spring 2007Lecture 21 - Floorplanning9 Floorplanning and Routing  Floorplanning determines routing regions  Connections between pins can cross several routing regions

ECE 425 Spring 2007Lecture 21 - Floorplanning10 Types of Routing Regions  Channels - connections on 2 sides, variable height  Switchboxes - connections on 4 sides, fixed height channelswitchbox channel switchbox pins

ECE 425 Spring 2007Lecture 21 - Floorplanning11 Detailed Routing Types of Routing  Global routing (“Loose routing”)  Assign wires to routing regions  But don’t assign exact wiring locations  Often done at same time as floorplanning  Detailed routing  Assign wires to exact location - tracks  Applied to one routing region at a time  Usually done in final chip assembly Global Routing

ECE 425 Spring 2007Lecture 21 - Floorplanning12 Floorplanning Steps Block Placement Routing Region Definition Global Routing Detailed Routing Early Floorplanning Chip Assembly

ECE 425 Spring 2007Lecture 21 - Floorplanning13 Routing Region Definition  Routing regions defined by block boundaries  Different configurations are possible A BC channel 1 ch 2 ch 1ch 2 ch 3

ECE 425 Spring 2007Lecture 21 - Floorplanning14 A B C C Placement effects Routing Regions  Changing spacing changes relationship between block edges:

ECE 425 Spring 2007Lecture 21 - Floorplanning15 Routing Region Abstraction: Channel Graph  Nodes represent routing regions  Undirected edges represent adjacency  Used for global routing w/ modified Lee Algorithm A B C D E

ECE 425 Spring 2007Lecture 21 - Floorplanning16 Line probe routing  Heuristic method for finding a short route.  Works with arbitrary combination of obstacles.  Does not explore all possible paths—not optimal.

ECE 425 Spring 2007Lecture 21 - Floorplanning17 line 2 Line Probe Example A A B B

ECE 425 Spring 2007Lecture 21 - Floorplanning18 Detailed Routing  Channel routing:  channel may grow in one dimension to accommodate wires  pins generally on only two sides.  Traditional approach: Left-Edge Algorithm  Switchbox routing:  cannot grow in any dimension;  pins are on all four sides, fixing dimensions of the box.  Traditional approach: Maze Routing or variant

ECE 425 Spring 2007Lecture 21 - Floorplanning19 channel B Detailed Routing and Channel Ordering  Detailed routing of one region determines pins for adjacent regions  This creates an ordering constraint channel A End pin

ECE 425 Spring 2007Lecture 21 - Floorplanning20 A B C D Ordering Conflicts - Windmills  Circular ordering constraint  No feasible routing

ECE 425 Spring 2007Lecture 21 - Floorplanning21 Slicable floorplan  Created by recursive slicing  Never contains windmills - routability guaraneteed

ECE 425 Spring 2007Lecture 21 - Floorplanning22 Power distribution  Must size wires to be able to handle current— requires designing topology of V DD /Gnd networks.  Want to keep power network in metal—requires designing planar wiring.

ECE 425 Spring 2007Lecture 21 - Floorplanning23 ` Low-resistance jumper  We want to avoid this:

ECE 425 Spring 2007Lecture 21 - Floorplanning24 Interdigitated power and ground lines V DD Gnd

ECE 425 Spring 2007Lecture 21 - Floorplanning25 Power tree design  Interdigitated power, ground trees  Recall rules for metal migration - current density < 1.5mA/µm  Each branch must be able to supply required current to all of its subsidiary branches  Size buses by recursively estimating peak currents

ECE 425 Spring 2007Lecture 21 - Floorplanning26 cell V DD V SS Planar power/ground routing theorem  Draw a dividing line through each cell such that all V DD terminals are on one side and all Gnd terminals on the other.  If floorplan places all cells with V DD on same side, there exists a routing for both V DD and Gnd which does not require them to cross.

ECE 425 Spring 2007Lecture 21 - Floorplanning27 A B C V DD V SS V DD V SS cut line no cut line no connection Planar routing theorem example

ECE 425 Spring 2007Lecture 21 - Floorplanning28 Power supply noise  Variations in power supply voltage manifest themselves as noise into the logic gates.  Power supply wiring resistance creates voltage variations with current surges.  Voltage drops on power lines depend on dynamic behavior of circuit.

ECE 425 Spring 2007Lecture 21 - Floorplanning29 Tackling power supply noise  Must measure current required by each block at varying times.  May need to redesign power/ground network to reduce resistance at high current loads.  Worst case, may have to move some activity to another clock cycle to reduce peak current.

ECE 425 Spring 2007Lecture 21 - Floorplanning30 Clock distribution  Goals:  deliver clock to all memory elements with acceptable skew;  deliver clock edges with acceptable sharpness.  Clocking network design is one of the greatest challenges in the design of a large chip.

ECE 425 Spring 2007Lecture 21 - Floorplanning31 Clock delay varies with position

ECE 425 Spring 2007Lecture 21 - Floorplanning32  H-tree

ECE 425 Spring 2007Lecture 21 - Floorplanning33 Clock distribution tree  Clocks are generally distributed via wiring trees.  Want to use low-resistance interconnect to minimize delay.  Use multiple drivers to distribute driver requirements—use optimal sizing principles to design buffers.  Clock lines can create significant crosstalk.

ECE 425 Spring 2007Lecture 21 - Floorplanning34 Clock distribution tree example

ECE 425 Spring 2007Lecture 21 - Floorplanning35 Floorplanning tips  Develop a wiring plan. Think about how layers will be used to distribute important wires.  Sweep small components into larger blocks. A floorplan with a single NAND gate in the middle will be hard to work with.  Design wiring that looks simple. If it looks complicated, it is complicated.

ECE 425 Spring 2007Lecture 21 - Floorplanning36 Floorplanning tips, cont’d.  Design planar wiring. Planarity is the essence of simplicity. It isn’t always possible, but do it where feasible (and where it doesn’t introduce unacceptable delay).  Draw separate wiring plans for power and clocking. These are important design tasks which should be tackled early.

ECE 425 Spring 2007Lecture 21 - Floorplanning37 Floorplanning the A/D Project  Placement of key components  Place to make wiring easy to pads  Place to make wiring easy between components  Alter orientation of cells if helpful (sideways / upsidedown)  Power/Ground Routing  Follow interdigitated tree if possible  Analog concern: separate power for DAC (VRPlus/VRMinus)  General Signal Routing  Try to make connections simple  Use pin placement to aid in routing SAR  Magic “routing mode” very helpful

ECE 425 Spring 2007Lecture 21 - Floorplanning38 Floorplanning the Project Location: /usr14/cad/PadFrame/adcframe04.mag DAC Comp. SAR

ECE 425 Spring 2007Lecture 21 - Floorplanning39 Validating Chip-Level Designs  Simulate, simulate, simulate!  IRSIM for digital stuff  PSpice for analog stuff AND entire chip core Check for proper function Measure power supply current to verify power/gnd network sizing  Inspect layout for fatal errors  Power/Ground connections (magic “s” macro helpful!)  Watch for accidental Vdd/Gnd shorts!  Missing substrate contacts  Missing n-wells (with missing substrate contacts)  Be paranoid - re-check after changing!

ECE 425 Spring 2007Lecture 21 - Floorplanning40 Coming Up  Chip-Level Design: Case Studies  Subsystem Design