EE 587 SoC Design & Test Partha Pande School of EECS Washington State University

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

Wires.
UNIT 4 BASIC CIRCUIT DESIGN CONCEPTS
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!
The Wire Scaling has seen wire delays become a major concern whereas in previous technology nodes they were not even a secondary design issue. Wire parasitic.
SoC Interconnect Modeling Venkata Krishna N. Dhulipala 11/20/2008.
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Interconnect Optimizations
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Lecture 24: Interconnect parasitics
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 9.1 EE4800 CMOS Digital IC Design & Analysis Lecture 9 Interconnect Zhuo Feng.
Lecture 7: Power.
Circuit characterization and Performance Estimation
October 5, 2005“Broadband Impedance Matching”1 Broadband Impedance Matching for Inductive Interconnect in VLSI Packages ICCD 2005 Authors: Brock J. LaMeres,
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
On-Chip Communication Architectures
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
Ch 10 MOSFETs and MOS Digital Circuits
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
Device Physics – Transistor Integrated Circuit
1. Department of Electronics Engineering Sahand University of Technology NMOS inverter with an n-channel enhancement-mode mosfet with the gate connected.
PCB Layout Introduction
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – Lab 7 MOSFET Parameters.
Washington State University
Practical Digital Design Considerations Review of Concepts Created February 2008 ©Paul R. Godin.
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Detailed Routing: New Challenges
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
EE415 VLSI Design 1 The Wire [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
11/22/2004EE 42 fall 2004 lecture 351 Lecture #35: data transfer Last lecture: –Communications synchronous / asynchronous –Buses This lecture –Transmission.
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect. n Switch logic.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Introduction to Clock Tree Synthesis
Chapter 4: Secs ; Chapter 5: pp
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
EE141 © Digital Integrated Circuits 2nd Combinational Circuits 1 A few notes for your design  Finger and multiplier in schematic design  Parametric analysis.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Inductance Screening and Inductance Matrix Sparsification 1.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits Yehea I. Ismail and Eby G. Friedman, Fellow, IEEE.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Chapter 2. High-speed properties of logic gates.
CSE477 L27 System Interconnect.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 27: System Level Interconnect Mary Jane Irwin (
Basics of Bypass Capacitor, Its Functions and Applications.
MICROPROCESSOR DESIGN1 IR/Inductive Drop Introduction One component of every chip is the network of wires used to distribute power from the input power.
High Speed Properties of Digital Gates, Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology
Power Distribution Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology.
TERMINATIONS Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology.
Circuit characterization and Performance Estimation
Crosstalk If both a wire and its neighbor are switching at the same time, the direction of the switching affects the amount of charge to be delivered and.
EI205 Lecture 15 Dianguang Ma Fall 2008.
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
Mary Jane Irwin ( ) CSE477 VLSI Digital Circuits Fall 2002 Lecture 27: System Level Interconnect Mary Jane.
Inductance Screening and Inductance Matrix Sparsification
Wire Indctance Consequences of on-chip inductance include:
Reading: Hambley Ch. 7; Rabaey et al. Secs. 5.2, 5.5, 6.2.1
THE INTERCONNECT.
Presentation transcript:

EE 587 SoC Design & Test Partha Pande School of EECS Washington State University

SoC Physical Design Issues Wire Inductance

Wire Inductance Wide wires in clock distribution & upper level metal layers These wires have low resistance Exhibit significant inductive effects New materials with low-resistance interconnect

Inductance Complete interconnect model should include inductance With increasing frequency and a decrease in resistance due to wide wires and the use of copper, inductance will begin to influence clocks/busses: Z = R + j  L Inductance, by definition, is for a loop not a wire  inductance of a wire in an IC requires knowledge of return path(s)  inductance extraction for a whole chip is virtually impossible... R L C V=Ldi dt V +- i

Evolution of Interconnect Model

Transmission Line Model Follow Board Notes

Inductance Effects Lumped RLC line R L C Treat RC problem as a resistive divider: V O = Z o V in ZtZt Z o ZtZt = 1 sC 1 sC + (R + sL) = 1 s 2 LC + sRC + 1 VOVO V in Poles are P 1,2 =  n  sqrt(    = n2n2 s 2 + s2  n +  n 2  n = 1/sqrt(LC)  =RC/2sqrt(LC) = damping factor  > 1 we have two real poles (RC effects)  < 1 we have two complex poles (RLC effects) +-+-

Inductance Effects Follow board notes

Other Inductance Effects For most gates R on is in the order of K  so typically R >> j  L  response is dominant by RC delay for most signals Only the large drivers have a small enough R on to allow the inductance to control the dynamic response  clocks  busses For clocks, self-inductance term can dominate the response (especially if shielding is used) For busses, mutual inductance term dominates and creates noise events that could cause malfunction For power supplies, inductance can also be a problem due to the Ldi/dt drop (in addition to the IR drop) as supplies scale down

Capacitive and Inductive Noise For most wires, j  L < (Rwire+Rdrive) for the frequency and R of interest. So, for delay, L is not a big issue currently. But  L can be  % of R so noise may be seen on adjacent line (mutual coupling) Return path current Dangerous scenario is a combination of localized capacitive coupling noise and long range mutual inductive coupling noise Double noise events

Gate Driving an RLC Transmission Line

Propagation Delay R t =Rl, L t =Ll, C t =Cl, C T =C L /C t

Propagation Delay (Cont’d) 50% propagation delay where ζ and w n are the damping factor and natural frequency of the circuit Function of both the interconnect and gate impedance

Propagation Delay (Cont’d) If the ratio of the total resistance of the line to the lossless characteristic impedance increases, inductive effects can be neglected If the ratio of the driver resistance to the lossless characteristic impedance increases, inductive effects can be neglected If the ratio between the time required to charge the load capacitance through the gate and wire resistance to the time of fight increase then inductive effects can be neglected

Effect of inductance on Signal Delay

Dependence of Delay on Interconnection Length If the gate parasitic impedances (C L and R tr ) are neglected then the propagation delay can be expressed as For the limiting case where L →0, the above equation reduces to For the limiting case R ->0, the delay is given by

Repeater Insertion revisited Lower repeater size and less number of repeaters The amount of inductance effects present in an RLC line depends on the ratio between the RC and the LC time constants of the line As Inductance effect increases the LC time constant dominates the RC time constant and the delay of the line changes from a quadratic to a linear dependence on the line length. Optimum number of repeaters for the minimum propagation delay decreases

Inductance & Power Dissipation The dynamic power is given as Increasing inductance effects results in fewer number of repeaters as well as smaller repeater size Significantly reduces total capacitance Faster rise time results in lower short-circuit power

Inductance Extraction Inductance can only be defined for a closed current loop The inductance of the loop is proportional to the area of the loop At low frequency resistive impedance dominates Current uses as many returns as possible to have parallel resistances Situation is different at higher frequencies

Mutual Inductance Causes extra noise and delay effects

Inductive Noise in a bus Physically, a wide bus with all the lines switching in the same direction behaves as one wide line Hence, the effective inductance of a line that is part of a bus is far larger than the self-inductance of that line LC time constant of the line becomes much larger

L di/dt effects on the Power Supply

Antenna Effects As each metal layer is placed on the chip during fabrication, charge builds up on the metal layers due to CMP 1, etc. If too much charge accumulates on gate of MOS transistor, it could damage the oxide and short the gate to the bulk terminal Higher levels of metal accumulate more charge so they are more troublesome (i.e., metal 5 is worse than metal 1) Need to discharge metal lines during processing sequence to avoid transistor damage (becomes a design/layout issue) This transistor could be damaged Metal 1 Poly Metal 2 Antenna Ratio = Area wire Area gate 1. CMP is chemical mechanical polishing which is used to planarize each layer before the next layer is placed on the wafer.

Preventing Antenna Effects A number of different approaches for antenna repairs: Diode Insertion - Make sure all metal lines are connected to diffusion somewhere to discharge the metal lines during fabrication n Antenna diode p -diodes costs area - need to optimize number and location - causes problems for design verification tool

Preventing Antenna Effects Note that there are always diodes connecting to source/drain regions of all transistors and charge on each layer is drained before next layer is added…so why are we worried? Gate input of next device may not be connected to a diode until it’s too late…charge accumulation on metal exceeds threshold Should put antenna diode here. Keep area of upper layer metals small near next transistor

Preventing Antenna Effects Second approach is to add buffers to interconnect to break up long wire routes and provide more gate area for antenna ratio Third approach is to use metal jumpers to from one layer of metal to another Metal 1/polish vias (charge removed) Metal2/polish

Class Presentation The first class presentation assignment will be posted soon. One of you has to present the basic concepts discussed in the paper to the class Presentation time ~20 minutes After the presentation everybody has to participate in the discussion