Challenges in Nanoelectronics: Process Variability

Slides:



Advertisements
Similar presentations
VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS
Advertisements

Introduction to CMOS VLSI Design Lecture 21: Scaling and Economics
High-K Dielectrics The Future of Silicon Transistors
Statistical Full-Chip Leakage Analysis Considering Junction Tunneling Leakage Tao Li Zhiping Yu Institute of Microelectronics Tsinghua University.
EE/MAtE1671 Front-End-Of-Line Variability Considerations EE/MatE 167 David Wahlgren Parent.
Device Sizing Techniques for High Yield Minimum-Energy Subthreshold Circuits Dan Holcomb and Mervin John University of California, Berkeley EE241 Spring.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Introduction to CMOS VLSI Design Lecture 21: Scaling and Economics Credits: David Harris Harvey Mudd College (Material taken/adapted from Harris’ lecture.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
MOS Capacitors MOS capacitors are the basic building blocks of CMOS transistors MOS capacitors distill the basic physics of MOS transistors MOS capacitors.
EE414 VLSI Design Design Metrics in Design Metrics in VLSI Design [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
“ Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits ” By Ronald G. Dreslinski, Michael Wieckowski, David Blaauw,
Introduction to FinFet
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Fabrication of CMOS Imagers
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
LA-LRU: A Latency-Aware Replacement Policy for Variation Tolerant Caches Aarul Jain, Cambridge Silicon Radio, Phoenix Aviral Shrivastava, Arizona State.
Variation-Tolerant Circuits: Circuit Solutions and Techniques Jim Tschanz, Keith Bowman, and Vivek De Microprocessor Technology Lab Intel Corporation,
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1μm MOSFET’s with Epitaxial and δ-Doped Channels A. Asenov and S. Saini, IEEE.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Ulrich Abelein, Mathias Born, Markus Schindler, Andreas Assmuth, Peter Iskra, Torsten Sulima, Ignaz Eisele Doping Profile Dependence of the Vertical Impact.
UTB SOI for LER/RDF EECS Min Hee Cho. Outline  Introduction  LER (Line Edge Roughness)  RDF (Random Dopant Fluctuation)  Variation  Solution – UTB.
CS203 – Advanced Computer Architecture
RTL Simulator for VChip 1999/11/11 이재곤. RTL Simulator for VChip  현재 상황 Compiled-code 로 변환 중  VBS 의 내장된 obj 파일을 이용하려 하였으나 제 대로 구현되어 있지 않음  Obj 파일 :
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
L ECE 4243/6243 Fall 2016 UConn F. Jain Notes Chapter L11 (page ). FET Operation slides Scaling Laws of FETs (slides 9-22)
CS203 – Advanced Computer Architecture
Modeling of Failure Probability and Statistical Design of Spin-Torque Transfer MRAM (STT MRAM) Array for Yield Enhancement Jing Li, Charles Augustine,
Circuit characterization and Performance Estimation
Memory Segmentation to Exploit Sleep Mode Operation
Chapter 4b Process Variation Modeling
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
20-NM CMOS DESIGN.
VLSI design Short channel Effects in Deep Submicron CMOS
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
Lecture 21 OUTLINE The MOSFET (cont’d) P-channel MOSFET
Hot Chips, Slow Wires, Leaky Transistors
Lecture 22 OUTLINE The MOSFET (cont’d) MOSFET scaling
Device Structure & Simulation
積體電路元件與製程 半導體物理 半導體元件 PN junction CMOS 製程 MOS 元件.
VLSI Design MOSFET Scaling and CMOS Latch Up
Downsizing Semiconductor Device (MOSFET)
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
Analytical Delay and Variation Modeling for Subthreshold Circuits
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
Downsizing Semiconductor Device (MOSFET)
Impact of Parameter Variations on Multi-core chips
Lecture 21 OUTLINE The MOSFET (cont’d) P-channel MOSFET
Post-Silicon Calibration for Large-Volume Products
Lecture 7: Power.
EXAMPLE 7.1 BJECTIVE Determine the total bias current on an IC due to subthreshold current. Assume there are 107 n-channel transistors on a single chip,
Lecture 22 OUTLINE The MOSFET (cont’d) MOSFET scaling
Thermal Modeling for Modern VLSI Circuits
Reading (Rabaey et al.): Sections 3.5, 5.6
Lecture 7: Power.
Technology scaling Currently, technology scaling has a threefold objective: Reduce the gate delay by 30% (43% increase in frequency) Double the transistor.
Lecture 4: Nonideal Transistor Theory
Lecture 15: Scaling & Economics
Lecture 15: Scaling & Economics
Lecture 4: Nonideal Transistor Theory
Parametric Yield Estimation Considering Leakage Variability Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester Present by Fengbo Ren Apr. 30.
Lecture #16 OUTLINE MOSFET ID vs. VGS characteristic
Dr. Hari Kishore Kakarla ECE
Presentation transcript:

Challenges in Nanoelectronics: Process Variability Dr. B.P. Harish, Assistant Professor, Dept. of Electrical Engineering, University Visvesvaraya College of Engg., Bangalore University, Bangalore.

Agenda Introduction Nanoelectronics - Challenges Process variability issues Summary

Introduction Technology scaling has been the driver of semiconductor industry. Scaling

Scaling benefits With technology scaling, over a 18-24 months period, – - Frequency scales up by 43% - Area scales down by 50% - Energy per transition comes down by 30- 35%. These benefits are no longer there – Moore’s law is slowing down.

Nanoelectronics - Challenges Leakage power contributes about 33% of total power and increases with scaling. - subthreshold leakage increases by about 3-5X. - gate leakage increases by 30X, across process generations. Photolithography challenges – pattern transfer issues. Reliability issues - as doping increases, electric fields in the device are increasing. Process complexity is increasing – so also costs. Process control is becoming challenging. Process variability – device and circuit performance is becoming unpredictable.

Process Variability: Introduction Tox Tsp Na Xj Oxidation Deposition Implantation Diffusion Speed Dynamic power Static power Yield Id CL Vt Vt Process variability - increasing with technology scaling. Feature sizes scaled more rapidly than process tolerances - significant device variability. Variability impacts all design goals – performance, power budget and reliability. Need to achieve robust device/circuit performance, in the presence of process variations.

Typical Variation in Parameter Large Variance Small Variance Distribution of actual performances, around nominal performance metrics, in a population of chips. Vt Vt Urgent need to tighten this distribution - at process design level - at device design level - at circuit design level

Taxonomy of Variation Sources of variation Process variations Environmental variations Inter-die variations Intra-die variations Systematic variations Random variations New design methodologies ??? (Vdd and Temperature) (Corner based analysis) Extrinsic variations Intrinsic variations

Frequency and Leakage Variations Source: Intel 30% variation in frequency and 20X variation in chip leakage. Significant yield loss – both parametric and functional yield.

Deviation in Transistor Parameters Threshold voltage Subthreshold slope Technology generation Technology generation Drive current Subthreshold leakage Technology generation Technology generation Source: Tang et al, TVLSI 1997. Process variations – barrier to technology scaling.

Variability: Leakage Power Measured variations in chip level leakage – 20X. 2 Ao variation in Tox can lead to 10X change in gate leakage. Power conscious CAD tools and methodologies can help achieve technology independent power gains of 3X to 5X. Variability-aware statistical chip level leakage power estimation techniques are necessary.

Solutions: Device Design Level Whether Gate-to-Source/Drain Overlap Length (Lov) can be an effective design parameter? If so, what is the desired overlap length that results in better variability?

Variability: Role of Lov It has been established that – - as Lov reduces, Ion reduces with reduction in Ion variability, degrading the device performance - Trade-off exists between drive current and its variability. - as Lov reduces, delay increases with decrease in delay variability - Trade-off exists between delay and its variability. Lov of 0 nm is recommended at 65 nm gate length for optimal combination in delay and delay variability. Lov of 0 nm also results in better performance in analog and digital applications.

Summary An overview of some of the challenges in nanoelectronics is presented. Process variability issue is discussed and some solutions proposed.

Thank You