The first change to your project files that is needed is to change the device to the correct FPGA. This is done by going to the Assignments tab on the.

Slides:



Advertisements
Similar presentations
Windows XP System Restore July 22 nd, 2006 CAEUG Meeting.
Advertisements

Lab7: Introduction to Arduino
 Use the Left and Right arrow keys or the Page Up and Page Down keys to move between the pages. You can also click on the pages to move forward.  To.
X-Media V2.0 Healthcare Training Jayex Technology Limited X-Media V2.0 March 2010 v
Electrical and Computer Engineering How to Program with JTAG ECE 353 – Computer Systems lab I Mike O’Malley Tom Stack September 20 th 2005.
DE1 FPGA board and Quartus
Downloading to Altera Nios Development Kit CSCE 488 Witawas Srisa-an.
ECE Department: University of Massachusetts, Amherst Lab 1: Introduction to NIOS II Hardware Development.
MZ790 Print Driver and RINC Software Install and Setup These instructions are to assist you in installation and setup of the MZ790 Print Driver and RINC.
Google Earth How to create a Google Earth Tour and place it in your Wiki.
1. 2 LXU800 User’s Manual 1.Installation – Windows XP UI Features Introduction Data Connection & Disconnection.
Introduction to FPGA Design Illustrating the FPGA design process using Quartus II design software and the Cyclone II FPGA Starter Board. Physics 536 –
Part 1 Using the ARM board And start working with C Tutorial 5 and 6
Advanced Digital Circuits ECET 146 Week 3 Professor Iskandar Hack ET 221B,
SMART Response Initial Set-up: Windows PC Teacher and Class Set-up Creating a Quiz, Test, or Survey Delivering an Assessment Reports Additional Question.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
Available at: – Operate the Tumbler using a Jumper Pin Operate the Tumbler using the jumper pin.
ECE Department: University of Massachusetts, Amherst Using Altera CAD tools for NIOS Development.
High Speed Data Converter University
Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model.
Intro to C++. Getting Started with Microsoft Visual Studios Open Microsoft Visual Studios 2010 Click on file Click on New Project Choose Visual C++ on.
PRACTISCORE MATCH SET UP
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
Analog Discovery Arbitrary Function Generator for Windows 7 by Mr
Lecture #3 Page 1 ECE 4110–5110 Digital System Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.HW#2 assigned Due.
Renesas Technology America Inc. 1 SKP8CMINI Tutorial 2 Creating A New Project Using HEW.
 Seattle Pacific University EE Logic System DesignAlteraBoard-2 Altera Cyclone II (484 Pin BGA) 22 Pins.
Start with loading the picture Locate your camera’s USB cable –it looks something like this:
Teaching Digital Logic courses with Altera Technology
Intro Compiler Configuration and Sample Project Walkthrough (For Axiom CME11E9-EVB)
QUARTUS II Version 9.1 service pack 2 Gregg Chapman Spring 2016.
3.3 SoftSPS Software Installation 1.Go to \\Master\ControlSetup\IPC Setup (Drivers & Softwares) and access the SoftSPS folder as shown in the picture below.
Making a 24hr Timer.
DE2-115 Control Panel - Part I
Getting Started with Application Software
Programming and Debugging with the Dragon and JTAG
Arria 10 External Memory Interface Example Design Guidelines
Lab 1: Using NIOS II processor for code execution on FPGA
Fundamentals of Computer Engineering
Downloading Arduino FOR WINDOWS.
Setting up Categories, Grading Preferences and Entering Grades
Implementing VHDL Modules onto Atlys Demo Board
How to connect your brick
Configuring Windows 10 for Your Studio
3.14 Microscan QX-870 Scanner Configuration
COMP211 Computer Logic Design Introduction to the DE2 Board
ECE 4110–5110 Digital System Design
THS5671EVM Test with TSW1400EVM
GNS 312 (DIGITAL SKILL ACQUISITION)
Fibonacci Counter The picture above illustrate a spiral that connect opposite end in each box. This pattern of spiral is call the golden spiral.
T_C_N_L_G_ E D I D I E O Y O H I E B J I R E A A W.
CR 245L Digital Design I Lab Sum of Products, 7-Segment Display,
Lighting LEDs with a RASPBERRY PI
ADC32RF45EVM Test with TSW14J10EVM and ZC706
ReadySet Achieve Maximize Training Agenda
Dayton Metro Library Computer Basics September 19, 2018
QUARTUS II Version 9.1 service pack 2
McCrometer Nano Board Test Procedure
Logic Gates Practical Objective: to develop an understanding of logic circuits and truth tables.
ADC32RF45 Testing.
Dayton Metro Library Place photo here Computer Basics December 8, 2018.
Founded in Silicon Valley in 1984
Reporting Site Manager User Guide February 2019.
Lab 1. Introduction to the DE2 Board
Lecture 4. Introduction to the DE2 Board
APAC eQuote
Running a Java Program using Blue Jay.
Chromebook 101.
Remote System Update Example Design for Cyclone IV GX Transceiver Starter Board April 23rd, 2015 (Rev 1.0)
Presentation transcript:

The first change to your project files that is needed is to change the device to the correct FPGA. This is done by going to the Assignments tab on the upper right hand corner of the page. Click on Device

You must make sure to change the Family of the device to the Cyclone II Click Device and Pin Options… when done Scroll through the device name until you find the EP2C20F484C7 (the number is on the FPGA on the board)

Under the configuration Tab, change the Configuration device From Auto to EPCS4. Click OK for both windows when done

If the project requires a clock, a VHDL program – called CLK_DIV – can be added to the project in order to get a smaller frequency clock than the onboard 27MHz or 50MHz clock. To add the clock divider, paste the CLK_DIV program into the project folder. Click Open in Quartus. Select CLK_DIV Click Open The VHDL file of CLK_DIV will open. Do NOT modify the code.

Go to File and click on Save As. Hit Save and replace the file Go to File and click on Save As. Hit Save and replace the file. This step adds the CLK_DIV file you your project files. On the middle, left-hand side of the page, click on the Files tab. Right click on CLK_DIV and click on the Create Symbol Files for Current Files.

After the symbol file is done compiling, click on the Symbol Tool icon. Under the Projects file will be the symbol for the clock divider. Select clk_div and click OK.

The clock divider is now able to be added to the program. HINT: This clock divider was built for a 25MHz clock input. The DE1 boards have a 27MHz and a 50MHz onboard clock. If the program is supposed to operate at about 1 Hz, the 27MHz clock should be used. If the 50MHz clock is used, all the outputs of the clk_div will be doubled (i.e. 2MHz, 200kHz, 20kHz, … etc.) For troubleshooting the project, a faster clock time can be used. Ask Goomey what clock frequency should be used for presenting to the class (I’d think you’d want to increase the frequency for the Digital Clock program to show its functionality, but verify that first).

After you’re done making your project and it compiles successfully, go to back to the Assignments tab and click on Pin Planner. A window similar to this should pop up. At the bottom should be the pin names for the project in the left-most column and the next column shows whether they are input or output pins. The corresponding input or output pin’s FPGA pin should be entered under the location column. HINTS: Remember to hit enter after entering each pin number. You cannot have duplicate pin numbers and you cannot clear location. Quartus will yell at you. Be careful when entering the pin numbers. If you make a mistake, find an unused pin for an LED or switch and swap the pin number where the mistake was made. DO NOT USE A RANDOM PIN NUMBER. Make sure you know what the pin number is going to. If not, you could break the FPGA.

The PIN numbers are in the tables are below (pages 32-42 of the DE1 Manual).

HINTS: The push keys (KEY0-3) are active low. Add an inverter right after the input pin so that when the key is pushed, it generates a ‘1’. The Seven-Segment displays are also active low. Use the 74247 seven segment driver in Quartus with RBIN, BIN, LT pulled high. There are two ways to program the DE1 board: JTAG and Active Serial Programming. JTAG – Useful for testing the program. Only saves the program to flash memory, so once the board is powered off, the program is erased from the board. Active Serial Programming – Loads the program onto the board. More time consuming process. Do this with the final version of the project so that it can be presented to the class.

To program the DE1 board, connect the board to power and the USB to the computer. Power on the board. Then go to Tools and find Programmer. If No Hardware is shown, go to Hardware setup. Change it from No Hardware to USB-Blaster [USB-0] and hit close.

The default setting is JTAG programming The default setting is JTAG programming. To program it this way, hit Start. The progress bar should go green (as shown). Your program is now on the board.

If you want to load the program to the board, go to Mode and select Active Serial Programming. Click Yes on the pop-up menu.

Click on Add File… Select the output_files folder and find the file that ends in .pof. Select it.

Check Program/Configure. IMPORTANT: Before pressing Start, turn the DE1 board off. Locate switch SW12 and toggle it from RUN to PROG. Power the board back on. Hit Start and wait for the progress bar to go green. Toggle SW12 from PROG to RUN. The program should be saved on the board. Remember to turn the board off before unplugging it from power.