A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.

Slides:



Advertisements
Similar presentations
Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
Advertisements

Single Event Upsets in Digital VLSI Circuits EYES Summer Internship Program 2007 University of New Mexico Vinay Jain Dr. Payman Zarkesh-Ha Final Year Undergraduate.
Single Event Upsets (SEUs) – Soft Errors By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M University, College.
April 30, Cost efficient soft-error protection for ASICs Tuvia Liran; Ramon Chips Ltd.
Microprocessor Reliability
A Robust, Fast Pulsed Flip- Flop Design By: Arunprasad Venkatraman Rajesh Garg Sunil Khatri Department of Electrical and Computer Engineering, Texas A.
2007 MURI Review The Effect of Voltage Fluctuations on the Single Event Transient Response of Deep Submicron Digital Circuits Matthew J. Gadlage 1,2, Ronald.
Predictably Low-Leakage ASIC Design using Leakage-immune Standard Cells Nikhil Jayakumar Sunil P. Khatri University of Colorado at Boulder.
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
Twin Logic Gates – Improved Logic Reliability by Redundancy concerning Gate Oxide Breakdown Hagen Sämrow, Claas Cornelius, Frank Sill, Andreas Tockhorn,
1 A Design Approach for Radiation-hard Digital Electronics Rajesh Garg Nikhil Jayakumar Sunil P Khatri Gwan Choi Department of Electrical and Computer.
1 A Lithography-friendly Structured ASIC Design Approach By: Salman Goplani* Rajesh Garg # Sunil P Khatri # Mosong Cheng # * National Instruments, Austin,
March 16-18, 2008SSST'20081 Soft Error Rate Determination for Nanometer CMOS VLSI Circuits Fan Wang Vishwani D. Agrawal Department of Electrical and Computer.
Design and Implementation of VLSI Systems (EN0160) Prof. Sherief Reda Division of Engineering, Brown University Spring 2007 [sources: Weste/Addison Wesley.
A Delay-efficient Radiation-hard Digital Design Approach Using Code Word State Preserving (CWSP) Elements Charu Nagpal Rajesh Garg Sunil P. Khatri Department.
1 A Variation-tolerant Sub- threshold Design Approach Nikhil Jayakumar Sunil P. Khatri. Texas A&M University, College Station, TX.
A Self-adjusting Scheme to Determine Optimum RBB by Monitoring Leakage Currents Nikhil Jayakumar* Sandeep Dhar $ Sunil P. Khatri* $ National Semiconductor,
1 A Fast, Analytical Estimator for the SEU-induced Pulse Width in Combinational Designs By: Rajesh Garg Charu Nagpal Sunil P. Khatri Department of Electrical.
An Algorithm to Minimize Leakage through Simultaneous Input Vector Control and Circuit Modification Nikhil Jayakumar Sunil P. Khatri Presented by Ayodeji.
1 Generalized Buffering of PTL Logic Stages using Boolean Division and Don’t Cares Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering,
TH EDA NTHU-CS VLSI/CAD LAB 1 Re-synthesis for Reliability Design Shih-Chieh Chang Department of Computer Science National Tsing Hua University.
1 A Single-supply True Voltage Level Shifter Rajesh Garg Gagandeep Mallarapu Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
A Probabilistic Method to Determine the Minimum Leakage Vector for Combinational Designs Kanupriya Gulati Nikhil Jayakumar Sunil P. Khatri Department of.
Spring 07, Apr 17, 19 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Soft Errors and Fault-Tolerant Design Vishwani.
Trace-Based Framework for Concurrent Development of Process and FPGA Architecture Considering Process Variation and Reliability 1 Lerong Cheng, 1 Yan Lin,
1 Enhancing Random Access Scan for Soft Error Tolerance Fan Wang* Vishwani D. Agrawal Department of Electrical and Computer Engineering, Auburn University,
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
The CMOS Inverter Slides adapted from:
Motivation Yang You 1, Jinghong Chen 1, Datao Gong 2, Deping Huang 1, Tiankuan Liu 2, Jingbo Ye 2 1 Department of Electrical Engineering, Southern Methodist.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
1 Efficient Analytical Determination of the SEU- induced Pulse Shape Rajesh Garg Sunil P. Khatri Department of ECE Texas A&M University College Station,
Power Reduction for FPGA using Multiple Vdd/Vth
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Single Event Effects in microelectronic circuits Author: Klemen Koselj Advisor: Prof. Dr. Peter Križan.
SiLab presentation on Reliable Computing Combinational Logic Soft Error Analysis and Protection Ali Ahmadi May 2008.
Soft errors in adder circuits Rajaraman Ramanarayanan, Mary Jane Irwin, Vijaykrishnan Narayanan, Yuan Xie Penn State University Kerry Bernstein IBM.
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
Sill Torres, Bastos: mBBICS Robust Modular Bulk Built-In Current Sensors for Detection of Transient Faults Frank Sill Torres +, Rodrigo Possamai Bastos*
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits Jun Seomun, Jaehyun Kim, Youngsoo Shin Dept. of Electrical Engineering, KAIST,
1 3D Simulation and Analysis of the Radiation Tolerance of Voltage Scaled Digital Circuits Rajesh Garg Sunil P. Khatri Department of ECE Texas A&M University.
SET Fault Tolerant Combinational Circuits Based on Majority Logic
Detecting Errors Using Multi-Cycle Invariance Information Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence,
Copyright © 2010 Houman Homayoun Houman Homayoun National Science Foundation Computing Innovation Fellow Department of Computer Science University of California.
Eduardo L. Rhod, Álisson Michels, Carlos A. L. Lisbôa, Luigi Carro ETS 2006 Fault Tolerance Against Multiple SEUs using Memory-Based Circuits to Improve.
In-Place Decomposition for Robustness in FPGA Ju-Yueh Lee, Zhe Feng, and Lei He Electrical Engineering Dept., UCLA Presented by Ju-Yueh Lee Address comments.
SEU Hardening Incorporating Extreme Low Power Bitcell Design (SHIELD)
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Robust Low Power VLSI R obust L ow P ower VLSI Deliberate Practice Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design Alicia,
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
Gill 1 MAPLD 2005/234 Analysis and Reduction Soft Delay Errors in CMOS Circuits Balkaran Gill, Chris Papachristou, and Francis Wolff Department of Electrical.
Chandrasekhar 1 MAPLD 2005/204 Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM based FPGAs Vikram Chandrasekhar, Sk. Noor Mahammad, V. Muralidharan.
RBHD of NOT Gate By: 1. Deep Shah (10BEC089) 2. Divyarajsinh Vaghela (10BEC104)
MAPLD 2005/213Kakarla & Katkoori Partial Evaluation Based Redundancy for SEU Mitigation in Combinational Circuits MAPLD 2005 Sujana Kakarla Srinivas Katkoori.
Rad (radiation) Hard Devices used in Space, Military Applications, Nuclear Power in-situ Instrumentation Savanna Krassau 4/21/2017 Abstract: Environments.
IPF: In-Place X-Filling to Mitigate Soft Errors in SRAM-based FPGAs
MAPLD 2005 Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM based FPGAs Vikram Chandrasekhar, Sk. Noor Mahammad, V. Muralidharan Dr. V. Kamakoti.
SEU Hardened Clock Regeneration Circuits
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
ELEC 6970: Low Power Design Class Project By: Sachin Dhingra
Design of a ‘Single Event Effect’ Mitigation Technique for Reconfigurable Architectures SAJID BALOCH Prof. Dr. T. Arslan1,2 Dr.Adrian Stoica3.
University of Colorado at Boulder
R.W. Mann and N. George ECE632 Dec. 2, 2008
Xilinx Kintex7 SRAM-based FPGA
Presentation transcript:

A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 1

Outline Background and Motivation Background and Motivation Previous Work Previous Work Our Approach Our Approach Experimental Results Experimental Results Conclusions Conclusions 2

n+n+ S n+n+ p-substrate G D VDD Depletion Region Radiation Particle _ + + _ _ + _ + _ + _ + _ + E _ + VDD - V jn E Charge Deposition by a Radiation Particle Radiation particles - protons, neutrons, alpha particles and heavy ions Radiation particles - protons, neutrons, alpha particles and heavy ions Reverse biased p-n junctions are most sensitive to particle strikes Reverse biased p-n junctions are most sensitive to particle strikes Charge is collected at the drain node through drift and diffusion Charge is collected at the drain node through drift and diffusion Results in a voltage glitch at the drain node Results in a voltage glitch at the drain node System state may change if this voltage glitch is captured by at least one memory element System state may change if this voltage glitch is captured by at least one memory element This is called SEU This is called SEU May cause system failure May cause system failure B 3

Modeling a Radiation Particle Strike Charge deposited ( Q ) at a node is given by Charge deposited ( Q ) at a node is given by where: L is the Linear Energy Transfer (MeV-cm 2 /mg) t is the depth of the collection volume (mm) t is the depth of the collection volume (mm) A radiation particle strike is modeled by a current pulse as A radiation particle strike is modeled by a current pulse as where:   is the collection time constant where:   is the collection time constant   is the ion track establishment constant   is the ion track establishment constant The radiation induced current always flows from n - diffusion to p -diffusion The radiation induced current always flows from n - diffusion to p -diffusion 4

Motivation Modern VLSI Designs Modern VLSI Designs Vulnerable to noise effects- crosstalk, SEU, etc Vulnerable to noise effects- crosstalk, SEU, etc Single Event Upsets (SEUs) or Soft Errors Single Event Upsets (SEUs) or Soft Errors Troublesome for both memories and combinational logic Troublesome for both memories and combinational logic Becoming increasingly problematic even for terrestrial designs Becoming increasingly problematic even for terrestrial designs Applications demand reliable systems Applications demand reliable systems Need to efficiently design radiation tolerant circuits Need to efficiently design radiation tolerant circuits This is the focus of this talk This is the focus of this talk 5

Previous Approaches for Radiation Hardening Gate sizing is done to improve the radiation tolerance of a design (Zhou et al.) Gate sizing is done to improve the radiation tolerance of a design (Zhou et al.) Higher drive capability and higher node capacitance increase immunity to SEU Higher drive capability and higher node capacitance increase immunity to SEU Selectively harden gates in a circuit to reduce SER by 10X Selectively harden gates in a circuit to reduce SER by 10X SEU events are detected using built in current sensors (BICS) (Gill et al.) SEU events are detected using built in current sensors (BICS) (Gill et al.) Error correction codes (Gambles et al.) Error correction codes (Gambles et al.) Triple modulo redundancy based approaches (Neumann et. al) Triple modulo redundancy based approaches (Neumann et. al) SOI devices are inherently less susceptible to radiation strikes SOI devices are inherently less susceptible to radiation strikes Still needs other hardening techniques to achieve SEU tolerance Still needs other hardening techniques to achieve SEU tolerance Several other approaches exist to reduce the severity of radiation particle strikes (Heijmen et al., Mohanram et al. ) Several other approaches exist to reduce the severity of radiation particle strikes (Heijmen et al., Mohanram et al. ) 6

Our Approach Phase 1 Phase 1 Gate level hardening Gate level hardening Phase 2 Phase 2 Block level hardening Block level hardening Selectively harden critical gates in a circuit Selectively harden critical gates in a circuit To keep area and delay overheads low To keep area and delay overheads low Reduce SER by 10X Reduce SER by 10X 7

Gate Level Hardening Approach in out2 out1 Radiation Particle out2 out1n inn inp out1p inp & inn out1n out1p out2 |V TP | VDD - V TN Static Leakage Paths A radiation particle strike at a reverse biased p-n junction results in a current flow from n-type diffusion to p-type diffusion A radiation particle strike at a reverse biased p-n junction results in a current flow from n-type diffusion to p-type diffusion A gate constructed using only PMOS (NMOS) transistors cannot experience 1 to 0 (0 to 1) upset A gate constructed using only PMOS (NMOS) transistors cannot experience 1 to 0 (0 to 1) upset INV1 INV2 INV1 8

Our Gate Level Hardening Approach out1n inn out1p out2 out1n inn out1p out2 inp X X inp & inn out1n out1p out2 |V TP | VDD - V TN Low V T transistors Radiation Tolerant Inverter Leakage currents are lower by ~100X Modified Inverter inp 9

Radiation Tolerant Inverter out1n inn out1p out2 inp inp & inn out1n out1p out2 X Radiation Particle Strike M1 M2 M3 M4 M5 M6 M7 M8 The voltage at out2 is unaffected X A radiation particle strike at any node of the first inverter (radiation tolerant inverter) does not affect the voltage at out2 Radiation Particle Strike X X X X 10

Radiation Tolerant Inverter Radiation particle strike at the outputs of INV1 Radiation particle strike at the outputs of INV1 Implemented using 65nm PTM with VDD=1V Implemented using 65nm PTM with VDD=1V Radiation strike: Q =150fC,   =150ps &   =38ps Radiation strike: Q =150fC,   =150ps &   =38ps out1n inn out1p inp out2 INV1 11

Block Level Radiation Hardening 100% SEU tolerance can be achieved by hardening all gates in a circuit but this will be very costly 100% SEU tolerance can be achieved by hardening all gates in a circuit but this will be very costly Protect only sensitive gates in a circuit to achieve good SEU tolerance or coverage Protect only sensitive gates in a circuit to achieve good SEU tolerance or coverage We obtain these sensitive gates using Logical Masking We obtain these sensitive gates using Logical Masking P LM (G) is the probability that the voltage glitch due to a radiation particle strike gets logically masked P LM (G) is the probability that the voltage glitch due to a radiation particle strike gets logically masked P Sen (G) = 1 – P LM (G) P Sen (G) = 1 – P LM (G) If we want to protect only 2 gates then we should to protect Gates 1 and 3 to maximize SEU tolerance If we want to protect only 2 gates then we should to protect Gates 1 and 3 to maximize SEU tolerance Gate 3 is the most sensitive Gate 3 is the most sensitive → Radiation Particle 0 P 1 = 0.25 P 0 = 0.75 P 1 = 0.5 P 0 = 0.5 For all inputs P 1 = 0.5 P 0 = 0.5 Gate P LM P Sen

Block Level Radiation Hardening Obtained P Sen for all gates in a circuit using a fault simulator Obtained P Sen for all gates in a circuit using a fault simulator Sort these gates in decreasing order of their P Sen Sort these gates in decreasing order of their P Sen Harden gates until the required coverage is achieved Harden gates until the required coverage is achieved Coverage is a good estimate for SER reduction (Zhou et al.) Coverage is a good estimate for SER reduction (Zhou et al.) Gates at the primary output of a circuit need to be hardened since P Sen = 1 for these gates Gates at the primary output of a circuit need to be hardened since P Sen = 1 for these gates The dual outputs of the hardened gates at the primary outputs drive the dual inputs of an SEU tolerant flip-flip (such as the flip-flop proposed by Liu et al.) The dual outputs of the hardened gates at the primary outputs drive the dual inputs of an SEU tolerant flip-flip (such as the flip-flop proposed by Liu et al.) 13

Critical Charge (Q cri ) Minimum amount of charge which can result in an SEU event Minimum amount of charge which can result in an SEU event Our hardened gates can tolerate a large amount of charge dumped by a radiation particle Our hardened gates can tolerate a large amount of charge dumped by a radiation particle Operating frequency of circuit determines Qcri Operating frequency of circuit determines Qcri Q cri is the amount of charge which results in a voltage glitch of pulse width T Q cri is the amount of charge which results in a voltage glitch of pulse width T in out1n out1p out2 CLK t1t1 T + t 1 2T + t 1 14

Experimental Results We implemented a standard cell library L using a 65nm PTM model card with VDD = 1.0V We implemented a standard cell library L using a 65nm PTM model card with VDD = 1.0V Implemented both regular and hardened versions of all cell types Implemented both regular and hardened versions of all cell types Applied our approach to several ISCAS and MCNC benchmark circuits Applied our approach to several ISCAS and MCNC benchmark circuits We implemented We implemented A tool in SIS to find the sensitive gates in a circuit A tool in SIS to find the sensitive gates in a circuit An STA tool to evaluate the delay of a hardened circuit obtained using our approach An STA tool to evaluate the delay of a hardened circuit obtained using our approach Layouts were created for all gates in our library for both regular and hardened versions Layouts were created for all gates in our library for both regular and hardened versions 15

Experimental Results Our SEU immune gates can tolerate high energy radiation particle strikes Our SEU immune gates can tolerate high energy radiation particle strikes Critical charge is extremely high (>520fC) for all benchmark circuits Critical charge is extremely high (>520fC) for all benchmark circuits Suitable for space and military application because of the presence of large number of high energy radiation particles Suitable for space and military application because of the presence of large number of high energy radiation particles Avg. Results Coverage % Area Ovh % Delay Ovh Area Mapped 90% % Delay Mapped 90% % Average results over several benchmark circuits mapped for area and delay optimality Average results over several benchmark circuits mapped for area and delay optimality 16

Comparison Our Hardening Approach Our approach is suitable for radiation environments with high energy particles Our approach is suitable for radiation environments with high energy particles Zhou et al. Our Approach 90% Coverage Area Ovh. 90%58% Delay Ovh. 8%28% Critical Charge ~150fC>520fC 17

Conclusions SEUs are troublesome for both memories and combinational logic SEUs are troublesome for both memories and combinational logic Becoming increasingly problematic even for terrestrial designs Becoming increasingly problematic even for terrestrial designs Applications demand reliable systems Applications demand reliable systems Need to efficiently design radiation tolerant circuits Need to efficiently design radiation tolerant circuits We developed a circuit hardening approach We developed a circuit hardening approach Area overhead is ~60% Area overhead is ~60% Delay overhead is ~28% Delay overhead is ~28% Our approach is suitable for high energy radiation particle environments Our approach is suitable for high energy radiation particle environments Critical charge is >520fC Critical charge is >520fC 18

THANK YOU 19