L i a b l eh kC o m p u t i n gL a b o r a t o r y Modeling TSV Open Defects in 3D-Stacked DRAM Li Jiang †, Liu Yuxi †, Lian Duan ‡, Yuan Xie ‡, and Qiang.

Slides:



Advertisements
Similar presentations
EE 201A Modeling and Optimization for VLSI LayoutJeff Wong and Dan Vasquez EE 201A Noise Modeling Jeff Wong and Dan Vasquez Electrical Engineering Department.
Advertisements

Caleb Serafy and Ankur Srivastava Dept. ECE, University of Maryland
Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
COEN 180 DRAM. Dynamic Random Access Memory Dynamic: Periodically refresh information in a bit cell. Else it is lost. Small footprint: transistor + capacitor.
Citadel: Efficiently Protecting Stacked Memory From Large Granularity Failures Dec 15 th 2014 MICRO-47 Cambridge UK Prashant Nair - Georgia Tech David.
Reducing Read Latency of Phase Change Memory via Early Read and Turbo Read Feb 9 th 2015 HPCA-21 San Francisco, USA Prashant Nair - Georgia Tech Chiachen.
Lecture 12: DRAM Basics Today: DRAM terminology and basics, energy innovations.
Scaling the Bandwidth Wall: Challenges in and Avenues for CMP Scalability 36th International Symposium on Computer Architecture Brian Rogers †‡, Anil Krishna.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Performance Yield-Driven Task Allocation and Scheduling for MPSoCs under Process Variation Presenter:
Die-Hard SRAM Design Using Per-Column Timing Tracking
Test Wrapper Designs for the Detection of Signal Integrity Faults on Core External Interconnects of SOCs Qiang Xu and Yubin ZhangKrishnendu Chakrabarty.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
Robust Low Power VLSI ECE 7502 S2015 Memory Built-in-Self Test (MBIST): Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study.
Through Silicon Vias EECS713 Daniel Herr.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective and Efficient In-Field TSV Repair for Stacked 3D ICs Presenter: Li Jiang Li Jiang †, Fangming.
Yao Wang, Yu Wang, Jiang Xu, Huazhong Yang EE. Dept, TNList, Tsinghua University, Beijing, China Computing System Lab, Dept. of ECE Hong Kong University.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Trace-Based Post-Silicon Validation for VLSI Circuits Xiao Liu Department of Computer Science and Engineering.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
On Timing- Independent False Path Identification Feng Yuan, Qiang Xu Cuhk Reliable Computing Lab, The Chinese University of Hong Kong ICCAD 2010.
How Significant Is the Effect of Faults Interaction on Coverage Based Fault Localizations? Xiaozhen Xue Advanced Empirical Software Testing Group Department.
Program Interference in MLC NAND Flash Memory: Characterization, Modeling, and Mitigation Yu Cai 1 Onur Mutlu 1 Erich F. Haratsch 2 Ken Mai 1 1 Carnegie.
Hao-Hsuan, Liu IEE5011 –Autumn 2013 Memory Systems 3D DRAM using TSV technology Hao-Hsuan, Liu Department of Electronics Engineering National Chiao Tung.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Yield Enhancement for 3D-Stacked Memory by Redundancy Sharing across Dies Li Jiang, Rong Ye and Qiang.
Defining Anomalous Behavior for Phase Change Memory
Min Xu1, Yunfeng Zhu2, Patrick P. C. Lee1, Yinlong Xu2
Dong Hyuk Woo Nak Hee Seong Hsien-Hsin S. Lee
BFTCloud: A Byzantine Fault Tolerance Framework for Voluntary-Resource Cloud Computing Yilei Zhang, Zibin Zheng, and Michael R. Lyu
High Performance Computing Processors Felix Noble Mirayma V. Rodriguez Agnes Velez Electric and Computer Engineer Department August 25, 2004.
Lecture 16: Storage and I/O EEN 312: Processors: Hardware, Software, and Interfacing Department of Electrical and Computer Engineering Spring 2014, Dr.
Designing a Fast and Reliable Memory with Memristor Technology
Row Buffer Locality Aware Caching Policies for Hybrid Memories HanBin Yoon Justin Meza Rachata Ausavarungnirun Rachael Harding Onur Mutlu.
Optimizing DRAM Timing for the Common-Case Donghyuk Lee Yoongu Kim, Gennady Pekhimenko, Samira Khan, Vivek Seshadri, Kevin Chang, Onur Mutlu Adaptive-Latency.
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint Li Jiang 1, Qiang Xu 1, Krishnendu Chakrabarty.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
Yi-Lin, Tu 2013 IEE5011 –Fall 2013 Memory Systems Wide I/O High Bandwidth DRAM Yi-Lin, Tu Department of Electronics Engineering National Chiao Tung University.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Test Economics for Homogeneous Manycore Systems Lin Huang† and Qiang Xu†‡ †CUhk REliable computing laboratory.
Energy Reduction for STT-RAM Using Early Write Termination Ping Zhou, Bo Zhao, Jun Yang, *Youtao Zhang Electrical and Computer Engineering Department *Department.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 33: November 20, 2013 Crosstalk.
By Edward A. Lee, J.Reineke, I.Liu, H.D.Patel, S.Kim
Jing Ye 1,2, Yu Hu 1, and Xiaowei Li 1 1 Key Laboratory of Computer System and Architecture Institute of Computing Technology Chinese Academy of Sciences.
Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo.
Neighbor-Cell Assisted Error Correction for MLC NAND Flash Memories Yu Cai 1 Gulay Yalcin 2 Onur Mutlu 1 Erich F. Haratsch 3 Adrian Cristal 2 Osman S.
Copyright © 2010 Houman Homayoun Houman Homayoun National Science Foundation Computing Innovation Fellow Department of Computer Science University of California.
Dynamic Memory Cell Wordline
1 Lecture 20: Big Data, Memristors Today: architectures for big data, memristors.
33 rd IEEE International Conference on Computer Design ICCD rd IEEE International Conference on Computer Design ICCD 2015 Improving Memristor Memory.
“ Methodology to characterize the performance of IEEE nodes to be deployed in multi- hop environments ” “ Marc Portoles Comeras, Andrey Krendzel,
Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects Fei Wang 1,2, Yu Hu 1, Huawei Li 1, Xiaowei Li 1, Jing Ye 1,2 1 Key Laboratory.
Simultaneous Multi-Layer Access Improving 3D-Stacked Memory Bandwidth at Low Cost Donghyuk Lee, Saugata Ghose, Gennady Pekhimenko, Samira Khan, Onur Mutlu.
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
1 Lecture 3: Memory Energy and Buffers Topics: Refresh, floorplan, buffers (SMB, FB-DIMM, BOOM), memory blades, HMC.
Optimizing DRAM Timing for the Common-Case Donghyuk Lee Yoongu Kim, Gennady Pekhimenko, Samira Khan, Vivek Seshadri, Kevin Chang, Onur Mutlu Adaptive-Latency.
CS203 – Advanced Computer Architecture Main Memory Slides adapted from Onur Mutlu (CMU)
Taeho Kgil, Trevor Mudge Advanced Computer Architecture Laboratory The University of Michigan Ann Arbor, USA CASES’06.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 30: November 21, 2012 Crosstalk.
Modeling of Failure Probability and Statistical Design of Spin-Torque Transfer MRAM (STT MRAM) Array for Yield Enhancement Jing Li, Charles Augustine,
A Novel 1. 5V CMFB CMOS Down-Conversion Mixer Design for IEEE 802
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
Gwangsun Kim Niladrish Chatterjee Arm, Inc. NVIDIA Mike O’Connor
SIDDAGANGA INSTITUTE OF TECHNOLOGY
Day 33: November 19, 2014 Crosstalk
Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Yu Cai, Saugata Ghose, Yixin Luo, Ken.
The Main Memory system: DRAM organization
Jeremie S. Kim Minesh Patel Hasan Hassan Onur Mutlu
ICIEV 2014 Dhaka, Bangladesh
DRAM SCALING CHALLENGE
Fall 2018, COMP 562 Poster Session
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
Presentation transcript:

l i a b l eh kC o m p u t i n gL a b o r a t o r y Modeling TSV Open Defects in 3D-Stacked DRAM Li Jiang †, Liu Yuxi †, Lian Duan ‡, Yuan Xie ‡, and Qiang Xu † Presenter: Qiang Xu † CUhk REliable Computing Laboratory Department of Computer Science & Engineering The Chinese University of Hong Kong ‡ Department of Computer Science & Engineering Pennsylvania State University, USA

Purpose New test challenges for 3D-stacked DRAM Massive amount of TSVs that are prone to open defects and coupling noises Conduct extensive simulation to study the faulty behavior of TSV open defects

Outline Introduction Motivation Simulation Methodology Simulation Results Conclusion

Why 3D-Stacked DRAM? Ever-increasing performance gap between processor and memory Excessive latency Limited bandwidth 3D-stacking is a promising solution to tackle this “Memory Wall” problem

3D-Stacked DRAM is Already Here … NEC: 4Gb, 8 Layers 4 Gbit density Interposer Peripherals 3 Gbps/pin 8 strata TSVSamSung: 8Gb, 4 Layers PCB TSV DRAM I/O Buffer RD/WR

“True” 3D-Stacked DRAM Much better performance when compared to using TSVs only for buses TSV density is extremely high Loh ISCA’08 One rank in multiple layers Separate peripheral logic layer

Motivation TSVs are prone to open defects Contamination O 2 trapped in bonding surface Miss Alignment/dislocation Mechanical failures in TSVs Contact resistances M. Kawano, et al. IEDM’06 Voids during filling

Motivation Separation (um) C vc (fF)C gc (fF) I. Savidis et.al. ISCAS08 Capacitive coupling between adjacent TSVs is NOT negligible!

3D Memory Model Write Operation Read Operation Enable

Simulation Setup SPICE simulation Open defect represented by a very large resistance Vdd 1.8v, Vth 0.6v Coupling capacitance is set according to previous work

Simulation Schematic for Wordline Open R open Vsig WL 1 X WL 0 WL 2

Wordline Open Access the open wordline Access the neighboring wordline of open wordline Vary wordline load capacitance Vary trapped charges in pass-transistor

Wordline Write No Access to open wordline Access its neighboring wordline of the (WL 1 ) Write 1 to Cell 4 Write 0 to Cell 4 Strong write 0 (1w0),Weak write 1 (0w1)

Wordline Read Multiple Access Two scenarios: Cell in the same bitline Cell in Complemented bitline C7C7 C4C 1 00 (C load =200fF) (V trap >0.7V)(V trap >1V)

Simulation Schematic for bitline Open R open SE Aggressor Victim

Bitline Read Access WL 0,No Error C 1  BL i  BL i Access WL 1, C 6  BL i+1  BL i C 4  BL i-1  BL i-1  BL i Driving force determine the output of open bitline 00 V ref 10

Coupling from Multiple Layer More complicated coupling effect Interference from other layer

Fault Modeling No Access Multiple Access Coupling by neighbor

Conclusion The massive amount of TSVs used in “True” 3D-stacked DRAM are prone to open defects and coupling noises We model the faulty behavior of open TSVs and show their effects through extensive simulation

Thank you for your attention !