Robust Low Power VLSI R obust L ow P ower VLSI Deliberate Practice Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design Alicia,

Slides:



Advertisements
Similar presentations
EVALUATION OF A CIRCUIT PATH DELAY TUNING TECHNIQUE FOR NANOMETER CMOS Advisor: Dr. Adit D. Singh Committee members: Dr. Vishwani D. Agrawal and Dr. Victor.
Advertisements

Entropy Extraction in Metastability-based TRNG
Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.
A Robust, Fast Pulsed Flip- Flop Design By: Arunprasad Venkatraman Rajesh Garg Sunil Khatri Department of Electrical and Computer Engineering, Texas A.
2007 MURI Review The Effect of Voltage Fluctuations on the Single Event Transient Response of Deep Submicron Digital Circuits Matthew J. Gadlage 1,2, Ronald.
Robust Low Power VLSI R obust L ow P ower VLSI A Programmable Multi- Channel Sub-Threshold FIR Filter for a Body Sensor Node Alicia Klinefelter Dept. of.
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
Design and Implementation of VLSI Systems (EN1600) Lecture08 Prof. Sherief Reda Division of Engineering, Brown University Spring 2008 [sources: Weste/Addison.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
8/29/06 and 8/31/06 ELEC / Lecture 3 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (ELEC 5970/6970) Low Voltage.
9/01/05ELEC / Lecture 41 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 20: Circuit Design Pitfalls Prof. Sherief Reda Division of Engineering,
Outline Introduction – “Is there a limit?”
Robust Low Power VLSI R obust L ow P ower VLSI Power Management Solutions for ULP SoCs Deliberate Practice – Session 3 Seyi and Aatmesh 15 th May 2013.
Subthreshold Dual Mode Logic
Robust Low Power VLSI R obust L ow P ower VLSI Finding the Optimal Switch Box Topology for an FPGA Interconnect Seyi Ayorinde Pooja Paul Chaudhury.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
“ Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits ” By Ronald G. Dreslinski, Michael Wieckowski, David Blaauw,
An Ultra Low Power DLL Design
Ashley Brinker Karen Joseph Mehdi Kabir ECE 6332 – VLSI Fall 2010.
MICAS Department of Electrical Engineering (ESAT) AID–EMC: Low Emission Digital Circuit Design Junfeng Zhou Wim Dehaene Update of the “Digital EMC project”
Jennifer Winikus Computer Engineering Seminar Michigan Technological University February 10,2011 2/10/2011J Winikus EE
ECE 7502 Project Final Presentation
Robust Low Power VLSI R obust L ow P ower VLSI A Method to Implement Low Energy Read Operations, and Single Cycle Write after Read in Subthreshold SRAMs.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
PROJECT GUIDE GROUP MEMBERS Dr.B.GOPI,B.E.M.E.Ph.D P.MENAKA G.NIVEDHA M.PAVITHRA M.POORNIMA G.PRIYA 1.
On-Chip Sensors for Process, Aging, and Temperature Variation
Minimum Energy Sub-Threshold CMOS Operation Given Yield Constraints Max Dreo Vincent Luu Julian Warchall.
Influence of carrier mobility and interface trap states on the transfer characteristics of organic thin film transistors. INFM A. Bolognesi, A. Di Carlo.
1 Bus Encoding for Total Power Reduction Using a Leakage-Aware Buffer Configuration 班級:積體所碩一 學生:林欣緯 指導教授:魏凱城 老師 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION.
Project SRAM Stevo Bailey Kevin Linger Roger Lorenzo John Thompson ECE 4332: Intro to VLSI.
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Patricia Gonzalez Divya Akella VLSI Class Project.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Scaling I Mohammad Sharifkhani. Reading Text book II (pp. 123)
Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1μm MOSFET’s with Epitaxial and δ-Doped Channels A. Asenov and S. Saini, IEEE.
June clock data Q-flop Flop dataQ clock Flip-flop is edge triggered. It transfers input data to Q on clock rising edge. Memory Elements.
Robust Low Power VLSI R obust L ow P ower VLSI A Method to Implement Low Energy Read Operations, and Single Cycle Write after Read in Subthreshold SRAMs.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Robust Low Power VLSI R obust L ow P ower VLSI Deliberate Practice Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design Alicia,
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE5900 Advanced Algorithms for Robust VLSI CAD Dr. Shiyan Hu Office: EERC 731 Adapted.
A 333MHz DRAM with Floating Body Cell (FBC) VLSI Systems I Fall 2007 Hamid Abbasalizadeh.
Chapter 18 Electric Circuits Chapter Opening 18.1 Electric circuits and electric current 18.2 Electrical energy and voltage 18.3 Resistance and Ohm’s law.
VLSI Masoumeh Ebrahimi Mismatch of MOS Transistor.
Robust Low Power VLSI R obust L ow P ower VLSI My Research Topics Alicia Klinefelter Dept. of Electrical Engineering, University of Virginia January 23,
Robust Low Power VLSI R obust L ow P ower VLSI Power Management Solutions for ULP SoCs Deliberate Practice -I Seyi and Aatmesh 1 st May 2013.
3D Technology and SRAM Simulation Advisor : Yi-Chang Lu Student : Chun-Yen Lin Graduate Institute of Electronics Engineering National Taiwan University.
A Multi-Mode Selectable DC-DC Converter for Ultralow Power Circuits Ernie Bowden Doug Sorenson.
Electric Current and Electrical Energy Current Electric current is the rate at which charges pass a given point An electric current can be made.
Copyright 2012, AgrawalLecture 12: Alternate Test1 VLSI Testing Lecture 12: Alternate Test Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
Robust Low Power VLSI R obust L ow P ower VLSI CORDIC Implementation for a battery-less Body sensor Node L. Patricia Gonzalez G. Dept. of Electrical Engineering,
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
A Low Supply Voltage CMOS Bandgap And Sub-threshold Voltage Reference Anurag Sindhu(Y5106) Ashish Bhatia (Y5121) EE610 Course Project.
Chapter 3 Fabrication, Layout, and Simulation.
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
積體電路元件與製程 半導體物理 半導體元件 PN junction CMOS 製程 MOS 元件.
Two-phase Latch based design
VLSI Testing Lecture 12: Alternate Test
Challenges in Nanoelectronics: Process Variability
Low Power Design in VLSI
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
Dual Mode Logic An approach for high speed and energy efficient design
Circuit Design Techniques for Low Power DSPs
A Fully Physical Model for Leakage Distribution under Process Variations in Nanoscale Double-Gate CMOS Liu Cao Lin Li.
Chapter 5 Circuit Simulation.
Presentation transcript:

Robust Low Power VLSI R obust L ow P ower VLSI Deliberate Practice Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design Alicia, Kyle, Yanqing Dept. of Electrical Engineering, University of Virginia April 03, 2013

Robust Low Power VLSI Motivation 2  Energy minimization for BSNs  Operate circuits in sub-threshold  Tradeoffs  Sensitivity to variation  Performance

Robust Low Power VLSI What is Variation?  Devices performing differently from how they were designed to operate  Effects  Robustness  Performance  Causes  Process  Voltage  Temperature 3

Robust Low Power VLSI Variation in Sub-Threshold 4  Types of Variation  Random Dopant Fluctuation  Carrier Mobility  W/L  Lithography  Threshold Voltage  Oxide Thickness  Analysis Tools  Monte Carlo  Corner Analysis [1]

Robust Low Power VLSI Metrics for Evaluation 5

Robust Low Power VLSI Attacking the Problem 6 Block/Logic Level ??? Standard Cells ??? Device Level ???

Robust Low Power VLSI Research Questions 7 1.How do you design sub-threshold standard cells capable of MHz operation that are variation-resilient?

Robust Low Power VLSI Research Questions 8

Robust Low Power VLSI Research Questions 9 1.After considering the inverter, what other techniques can we apply to other standard cells? 1.Combinational 2.Sequential

Robust Low Power VLSI References 10 1.Process Variations, University of Maryland, Advanced VLSI Design: lect10_process_var.pdf 2.Reynders, N.; Dehaene, W., "Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design," Circuits and Systems II: Express Briefs, IEEE Transactions on, vol.59, no.12, pp.898,902, Dec