Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.

Slides:



Advertisements
Similar presentations
Circuit Research Lab, Intel
Advertisements

ITRS December 2003, Hsin-Chu Taiwan How Much Variability Can Designers Tolerate? Andrew B. Kahng ITRS Design ITWG December 1, 2003.
by Alexander Glavtchev
Treinamento: Testes Paramétricos em Semicondutores Setembro 2012
EE141 © Digital Integrated Circuits 2nd Wires 1 The Wires Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated Circuits: A Design.
Metal Oxide Semiconductor Field Effect Transistors
Recent Challenges. 2 Soft Errors Scaling:  SEU (Single-event upset): −Ionizing radiation corrupts data stored  Cause: −Radioactive impurities in device.
VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 10, 2013 Statistical Static Timing Analysis.
Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer.
1 Worst-case Delay Analysis Considering the Variability of Transistors and Interconnects Takayuki Fukuoka, Tsuchiya Akira and Hidetoshi Onodera Kyoto University.
The Impact of Variability on the Reliability of Long on-chip Interconnect in the Presence of Crosstalk Basel Halak, Santosh Shedabale, Hiran Ramakrishnan,
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 22, 2009 Statistical Static Timing Analysis.
EE/MAtE1671 Process Variability EE/MatE 167 David Wahlgren Parent.
Process-Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL Ph.D. Dissertation Committee:
CS294-6 Reconfigurable Computing Day4 September 3, 1998 VLSI Scaling.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 20: Circuit Design Pitfalls Prof. Sherief Reda Division of Engineering,
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Input-Specific Dynamic Power Optimization for VLSI Circuits Fei Hu Intel Corp. Folsom, CA 95630, USA Vishwani D. Agrawal Department of ECE Auburn University,
Design and Implementation of VLSI Systems (EN0160)
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 18: Scaling Theory Prof. Sherief Reda Division of Engineering, Brown University.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
Lecture #25a OUTLINE Interconnect modeling
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
PED Roadmapping Issues Vijaykrishnan Narayanan Dept. of CSE Penn State University GSRC Workshop, March 20-21, 2003.
© 2005 Altera Corporation © 2006 Altera Corporation Placement and Timing for FPGAs Considering Variations Yan Lin 1, Mike Hutton 2 and Lei He 1 1 EE Department,
Circuit Performance Variability Decomposition Michael Orshansky, Costas Spanos, and Chenming Hu Department of Electrical Engineering and Computer Sciences,
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
Optional Reading: Pierret 4; Hu 3
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 11: October 1, 2010 Variation.
Page 1 Hannes Luyken CPR ND N e v e r s t o p t h i n k i n g. ULIS 2003 Ultimate Integration of Silicon T. Schulz, C. Pacha, R. J. Luyken, M. Städele,
Norhayati Soin 06 KEEE 4426 WEEK 7/1 6/02/2006 CHAPTER 2 WEEK 7 CHAPTER 2 MOSFETS I-V CHARACTERISTICS CHAPTER 2.
CAD for Physical Design of VLSI Circuits
Metallization: Contact to devices, interconnections between devices and to external Signal (V or I) intensity and speed (frequency response, delay)
Modeling and Estimation of Full-Chip Leakage Current Considering Within- Die Correlations Khaled R. Heloue, Navid Azizi, Farid N. Najm University of Toronto.
Low Power – High Speed MCML Circuits (II)
CSCE 613: Fundamentals of VLSI Chip Design Instructor: Jason D. Bakos.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
Variation-Aware Design for Nanoscale VLSI Sachin S. Sapatnekar University of Minnesota CAS-FEST 2010 Circuits and Systems Forum on Emerging and Special.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Outline Introduction: BTI Aging and AVS Signoff Problem
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 24: April 22, 2015 Statistical Static Timing Analysis.
STA with Variation 1. 2 Corner Analysis PRCA (Process Corner Analysis):  Takes 1.nominal values of process parameters 2.and a delta for each parameter.
ISQED 2007Cho et al. A Data-Driven Statistical Approach to Analyzing Process Variation in 65nm SOI Technology Choongyeun Cho 1, Daeik Kim 1, Jonghae Kim.
CMOS Fabrication nMOS pMOS.
VLSI Design Lecture 3: Parasitics of CMOS Wires Mohammad Arjomand CE Department Sharif Univ. of Tech. Adapted with modifications from Harris’s lecture.
Caltech CS184 Winter DeHon 1 CS184a: Computer Architecture (Structure and Organization) Day 6: January 19, 2005 VLSI Scaling.
1 Tau 2002 Explicit Computation of Performance as a Function of Process Parameters Lou Scheffer.
HO #3: ELEN Review MOS TransistorsPage 1S. Saha Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended.
ADVANCED HIGH DENSITY INTERCONNECT MATERIALS AND TECHNIQUES DIVYA CHALLA.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
MOSFET Current Voltage Characteristics Consider the cross-sectional view of an n-channel MOSFET operating in linear mode (picture below) We assume the.
Caltech CS184 Winter DeHon 1 CS184a: Computer Architecture (Structure and Organization) Day 6: January 22, 2003 VLSI Scaling.
CHAPTER 6: MOSFET & RELATED DEVICES CHAPTER 6: MOSFET & RELATED DEVICES Part 2.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Department of Electrical and Computer Engineering University of Wisconsin - Madison Optimizing Total Power of Many-core Processors Considering Voltage.
Thomas J. Watson Research Center © 2006 IBM Corporation Statistical Timing in a Practical 65 nm Robust Design Flow Chandu Visweswariah.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
The Interconnect Delay Bottleneck.
Chapter 4b Process Variation Modeling
by Alexander Glavtchev
Challenges in Nanoelectronics: Process Variability
Reading (Rabaey et al.): Sections 3.5, 5.6
Technology scaling Currently, technology scaling has a threefold objective: Reduce the gate delay by 30% (43% increase in frequency) Double the transistor.
Parametric Yield Estimation Considering Leakage Variability Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester Present by Fengbo Ren Apr. 30.
Presentation transcript:

Variation

2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects −From die to die −Within a particular die 2.Environmental (operating context) (temporal) (dynamic) variations:  Uncertainty in the operating environment of a particular device during its lifetime −Temperature −Supply voltage −Lifetime wear-out

3 Variation Classification

4 Supply Voltage Variation

5 Temperature Variation Within die temperature variation Temperature Variation:  Both the device and interconnect performance have temperature dependence, −Higher temperature  performance degradation.

6 Process Variation Process variation: Sample space:  Set of manufactured dies  Results in yield loss −Y = # working die / # manuf. Die  A small portion of sample space is allowed to fail timing constraints −CPU/GPU design: Speed/core binning: for different applications −  Lessens the requirement that all or very high percentage of die meets the fastest timing constraint

Process Variation 7 [Cadence]

8 Environmental Variation Environmental Variation: Sample space:  Operational life of a chip  A pessimistic analysis is required −Should ensure correct operation throughout lifetime  Design that operates faster than necessary for much of its operational life −  loss in efficiency  One approach: −Runtime adaptivity of the design Environmental Variation: Treated by worst case analysis Process variation: Treated statistically

9 Process Variation: Sources PV Sources:  Var. in physical parameters (due to imperfect manufacturing): −Gate length or critical dimension (CD) −Gate oxide thickness −Channel doping concentration −Interconnect thickness −Interconnect width −…−… −Dominant factors: CD and channel doping  Var. in electrical parameters of components −V th −Drive strength of transistors −Resistance of wires −Capacitance of wires −….  Var. in circuit characteristics: −Delay −Power −Noise

10 Process Variation Sources x Wafer X Wafer Y 2.0 [IBM, Intel and TSMC]

11 Variation Variations Variation of variation over years % WID/total variation (from mean value) −Gate oxides are so thin that a change of one atom can cause a 25 percent difference in substrate current. −EE Times (04/11/2006) ILD: inter-layer dielectric [

12 Process Variation A physical parameter variation may affect more than one electrical parameter:  Wire width  −Wire capacitance −Wire resistance −Coupling noise  Gate oxide thickness  −Drive current −V th −C g

13 Correlation  Must consider correlation between electrical parameters  If ignore correlation (C w, R w ), −In theory, both may be at worst–case values −Impossible in practice Correlation among physical parameters themselves  An equipment variation (e.g. lens deviation) may impact multiple physical parameter values (all metal layers and poly) −Hard to model due to large number of equipment-related parameters  Most algorithms take physical parameters to be basic random variables

14 Classification Types of physical-parameter variations: 1.Systematic (deterministic): −Show predictable variational trends across a chip −Caused by known physical phenomena during manufacturing −Can be predicted upfront by analyzing the designed layout −Can be avoided in final stages −E.g. Metal fill, optical proximity effects −But at early stages, common to be treated statistically - Most of the time, not available to designers/CAD developers - E.g.,regions with uniform metal densities have more uniform ILD thicknesses

15 Classification Types of physical-parameter variations: 2.Non-systematic (random): −Truly uncertain component of physical-parameter variations −Resulted from processes that are statistically independent of the design implementation −Only the statistical characteristics are known at design time, −  Must be modeled using RVs Common practice:  In earlier stages, both systematic and nonsystematic variations are modeled statistically  As we move through the design process and more detailed information is obtained, the systematic components can be modeled deterministically (if sufficient analysis capabilities are available)

Scaling Effect  A 4nm MOSFET predicted in mass production in 2020,  < 10 Si atoms are expected along the channel (IBM roadmap)  MOS transistors are rapidly becoming truly atomistic devices  Random variations are becoming dominant. 16  A 22nm MOSFET expected in mass production  50 Si atoms along the channel  Large parameter fluctuations

17 Classification Classification of variation:  Die-to-die (inter-die) (global): −Affects all devices on the same die in the same way  Within-die: WID (intra-die) (local) (on-chip: OCV): −Affects each device on the same die differently −E.g. some devices have larger/smaller CDs than nominal

D2D Variation 18 [Menezes07]

19 Classification Types of within-die variation: 1.Spatially-correlated: −Many of the underlying processes that give rise to within-die variation change gradually from one location to the next. −  Affect closely spaced devices in a similar manner −  Make them more likely to have similar characteristics than those placed far apart 2.Independent: −Statistically independent from all other devices −Scaling  Contribution of independent within-die variation is increasing −With SC: −L eff, −Temperature −Supply voltage −No SC: −t ox, −Dopant concentration

20 Inter-die vs. Intra-die Variations Figures are courtesy of IBM, Intel and TSMC Intra-die spatial Correlation Inter-die global Correlation L eff

References [Blaauw08] Blaauw, Chopra, Srivastava, Scheffer, “Statistical Timing Analysis: From Basic Principles to State of the Art,” IEEE Transactions on CAD, Vol. 27, No. 4, April [Forzan09] Forzan, Pandini, “Statistical static timing analysis: A survey,” Integration, The VLSI Journal, 42, [Menezes07] Menezes, “The Good, the Bad, and the Statistical,” Invited talk, ISPD