Digital System Design Verilog ® HDL Introduction to Synthesis: Concepts and Flow Maziar Goudarzi.

Slides:



Advertisements
Similar presentations
Verilog HDL -Introduction
Advertisements

TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Simulation executable (simv)
VHDL - I 1 Digital Systems. 2 «The designer’s guide to VHDL» Peter J. Andersen Morgan Kaufman Publisher Bring laptop with installed Xilinx.
Register Transfer Level
ECE Synthesis & Verification - Lecture 2 1 ECE 667 Spring 2011 ECE 667 Spring 2011 Synthesis and Verification of Digital Circuits High-Level (Architectural)
CSE 201 Computer Logic Design * * * * * * * Verilog Modeling
1 Pertemuan 9 Verilog HDL Matakuliah: H0362/Very Large Scale Integrated Circuits Tahun: 2005 Versi: versi/01.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
Digital System Design by Verilog University of Maryland ENEE408C.
Signals vs. Variables Workshop Fri/Sat Test bench creation Misc IP Cores Chipscope Pro When Demos due.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
ECE 353 Computer Systems Lab I Verilog Hardware Description Language.
Digital System Design Verilog ® HDL Maziar Goudarzi.
Digital System Design Course Introduction Maziar Goudarzi.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
VLSI Design Lab Introduction
O VERVIEW OF DIGITAL SYSTEMS Hardware Description Language 1.
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
Advanced FPGA Based System Design Lecture-5-6 Introduction to VHDL By: Dr Imtiaz Hussain 1.
Digital System Design EEE344 Lecture 3 Introduction to Verilog HDL Prepared by: Engr. Qazi Zia, Assistant Professor EED, COMSATS Attock1.
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
1 Lecture - 2 M.Tech. – Weekend Programme. 2 EDA Tools 1. Design Entry a.View Logic b.Mentor Graphics (Renoir) c.Cadence Design System d.OrCAD e.ALDEC.
Synthesis Presented by: Ms. Sangeeta L. Mahaddalkar ME(Microelectronics) Sem II Subject: Subject:ASIC Design and FPGA.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
EL 3101 EL310 Hardware Description Languages Spring 2015 Instructor: Ilker Hamzaoglu Teaching Assistant: Ercan Kalalı Web Site:
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
COE 405 Design and Modeling of Digital Systems
ECE 449: Computer Design Lab Coordinator: Kris Gaj TAs: Tuesday session: Pawel Chodowiec Thursday session: Nghi Nguyen.
Chapter 11: System Design Methodology Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley11-1 Ders 8: FSM Gerçekleme ve.
Digital System 數位系統 Verilog HDL Ping-Liang Lai (賴秉樑)  
Module 1.2 Introduction to Verilog
TOPIC : SYNTHESIS INTRODUCTION Module 4.3 : Synthesis.
Fall 08, Oct 29ELEC Lecture 7 (updated) 1 Lecture 7: VHDL - Introduction ELEC 2200: Digital Logic Circuits Nitin Yogi
Slide 1 2. Verilog Elements. Slide 2 Why (V)HDL? (VHDL, Verilog etc.), Karen Parnell, Nick Mehta, “Programmable Logic Design Quick Start Handbook”, Xilinx.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
ECE-C662 Lecture 2 Prawat Nagvajara
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
Introduction to ASIC flow and Verilog HDL
M.Mohajjel. Objectives Learn How to write synthesizable Verilog code Common mistakes and how to avoid them What is synthesized for what we code Digital.
VHDL and Hardware Tools CS 184, Spring 4/6/5. Hardware Design for Architecture What goes into the hardware level of architecture design? Evaluate design.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
Chapter1: Introduction Digital System Designs and Practices Using Verilog HDL and 2008~2010, John Wiley 1-1 Chapter 1: Introduction Prof. Ming-Bo.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
Digital System Design Verilog ® HDL Dataflow Modeling Maziar Goudarzi.
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
A Brief Introduction to FPGAs
ASIC Design Methodology
Combinational Logic Design
Digital System Design An Introduction to Verilog® HDL
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
EMT 351/4 DIGITAL IC DESIGN Week # Synthesis of Sequential Logic 10.
Topics Modeling with hardware description languages (HDLs).
KARTHIK.S Lecturer/ECE S.N.G.C.E
Topics Modeling with hardware description languages (HDLs).
SYNTHESIS OF SEQUENTIAL LOGIC
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
VHDL Introduction.
HIGH LEVEL SYNTHESIS.
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
THE ECE 554 XILINX DESIGN PROCESS
Week 6, Multiplexer & Demultiplexer
Digital Designs – What does it take
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

Digital System Design Verilog ® HDL Introduction to Synthesis: Concepts and Flow Maziar Goudarzi

Objectives Learn – What is synthesis – Understand and appreciate differences between simulation and synthesis – Various synthesis tools – Get ready for the course laboratory and learn its limitations 2010DSD2

Logic Synthesis? Why? Assembly coding vs. C/Java programming Gate-level modeling vs. behavioral modeling 2010DSD3

Logic Design Course Standard products – Adders 2010DSD4

Traditional Logic Design Flow 2010DSD5

Logic Synthesis Flow 2010DSD6

Logic Synthesis Process of generating detailed logic gates from higher-level description – RTL (Register Transfer Level) / Logic Synthesis – Behavioral Synthesis (High Level Synthesis) Decide number of registers and their interconnects in addition to RTL synthesis 2010DSD7

Verilog Lower Abstraction Levels Gate Level Models – All synthesizable Dataflow Models – Most expressions synthesizable – Exceptions: *, /, %, ===, !== General rule – Delays are all ignored 2010DSD8

Behavioral Level General rules – All delays ignored – initial blocks not synthesizable – always statements Sensitivity list decides what is synthesized Further details: later in this semester, after ASIC and FPGA internal structures are taught 2010DSD9

Famous Synthesis Tools 2010DSD10 CompanyFamous Synthesis Tool (or design environment) Mentor GraphicsLeonardo Spectrum SynopsysDesign Compiler, Synplify CadenceEncounter RTL Compiler, BuildGates Altera (FPGA company)Quartus Xilinx (FPGA company)ISE...The list continues…