Practical Strategies for Power-Efficient Computing Technologies Karim Al-Sheraidah December 8 th 2011.

Slides:



Advertisements
Similar presentations
1 Power Management for High- speed Digital Systems Tao Zhao Electrical and Computing Engineering University of Idaho.
Advertisements

The High Voltage/High Power FET (HiVP)
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Mini Project for CSE 260 Deepa Veerappan DEEP BLUE Ref.
Trends and Perspectives in deep-submicron IC design Bram Nauta MESA + Research Institute University of Twente, Enschede, The Netherlands University of.
COMPARISON OF ADAPTIVE VOLTAGE/FREQUENCY SCALING AND ASYNCHRONOUS PROCESSOR ARCHITECTURES FOR NEURAL SPIKE SORTING J. Leverett A. Pratt R. Hochman May.
Application of the SIDECAR ASIC as the Detector Controller for ACS and the JWST Near-IR Instruments Markus Loose STScI Calibration Workshop July 22, 2010.
DAAD Project ISSNBS Niš, LOW POWER MICROCONTROLLER DESIGN BY USING UPF Borisav Jovanović, Milunka Damnjanović, Faculty of Electronic Engineering.
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
By: Aaron Biddings Date: April 2, Overview Peta-op perfomance 10^15 operations per second Examples Roadrunner IBM’s Blue Gene/P Blue Waters 2.
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
Device Sizing Techniques for High Yield Minimum-Energy Subthreshold Circuits Dan Holcomb and Mervin John University of California, Berkeley EE241 Spring.
9/13/05ELEC / Lecture 61 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
S. Reda VLSI Design Design and Implementation of VLSI Systems (EN1600) lecture09 Prof. Sherief Reda Division of Engineering, Brown University Spring 2008.
High Speed Devices Group RF Pulse Modulation and the Digitally Driven Class C Power Amplifier R. Uang, J. Keyzer, A. Dalvi, Y. Sugiyama, M. Iwamoto, I.
Threshold Voltage Assignment to Supply Voltage Islands in Core- based System-on-a-Chip Designs Project Proposal: Gall Gotfried Steven Beigelmacher 02/09/05.
Integrated Regulation for Energy- Efficient Digital Circuits Elad Alon 1 and Mark Horowitz 2 1 UC Berkeley 2 Stanford University.
1 paper I design and implementation of the aegis single-chip secure processor using physical random functions, isca’05 nuno alves 28/sep/06.
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
Digital Integrated Circuits© Prentice Hall 1995 Inverter THE INVERTERS.
Synergy.cs.vt.edu Power and Performance Characterization of Computational Kernels on the GPU Yang Jiao, Heshan Lin, Pavan Balaji (ANL), Wu-chun Feng.
Word-Size Optimization for Low Energy, Variable Workload Sub-threshold Systems Sudhanshu Khanna, Anurag Nigam ECE 632 – Fall 2008 University of Virginia.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
Multi Core Processor Submitted by: Lizolen Pradhan
A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology
TEMPLATE DESIGN © Gate-Diffusion Input (GDI) Technique for Low Power CMOS Logic Circuits Design Yerkebulan Saparov, Aktanberdi.
Measuring Synchronisation and Scheduling Overheads in OpenMP J. Mark Bull EPCC University of Edinburgh, UK
By Arun Bhandari Course: HPC Date: 01/28/12. GPU (Graphics Processing Unit) High performance many core processors Only used to accelerate certain parts.
A Novel 2.4 GHz CMOS Class-E Power Amplifier with Efficient Power Control for Wireless Communications R. Meshkin, A. Saberkari*, and M. Niaboli Department.
THE INVERTERS. DIGITAL GATES Fundamental Parameters l Functionality l Reliability, Robustness l Area l Performance »Speed (delay) »Power Consumption »Energy.
Introduction to FinFet
MICAS Department of Electrical Engineering (ESAT) AID–EMC: Low Emission Digital Circuit Design Junfeng Zhou Wim Dehaene Update of the “Digital EMC project”
A New RF CMOS Gilbert Mixer With Improved Noise Figure and Linearity Yoon, J.; Kim, H.; Park, C.; Yang, J.; Song, H.; Lee, S.; Kim, B.; Microwave Theory.
18/10/20151 Calibration of Input-Matching and its Center Frequency for an Inductively Degenerated Low Noise Amplifier Laboratory of Electronics and Information.
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
Group No 5 1.Muhammad Talha Islam 2.Karim Akhter 3.Muhammad Arif 4.Muhammad Umer Khalid.
A 1-V 15  W High-Precision Temperature Switch D. Schinkel, R.P. de Boer, A.J. Annema and A.J.M. van Tuijl A 1-V 15  W High-Precision Temperature Switch.
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Non-Data-Communication Overheads in MPI: Analysis on Blue Gene/P P. Balaji, A. Chan, W. Gropp, R. Thakur, E. Lusk Argonne National Laboratory University.
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
Design and Analysis of A Novel 8T SRAM Cell December 14, 2010 Department of Microelectronic Engineering & Centre for Efficiency Oriented Languages University.
Modeling Billion-Node Torus Networks Using Massively Parallel Discrete-Event Simulation Ning Liu, Christopher Carothers 1.
Introduction to Computers Lesson 1 CES Industries, Inc.
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
EDA (Circuits) Overview Paul Hasler. Extent of Circuits (Analog/Digital) Analog ~ 20% of IC market since 1970 Hearing aids Automotive Biomedical Digital.
Department of Electrical and Computer Engineering University of Wisconsin - Madison Optimizing Total Power of Many-core Processors Considering Voltage.
A 1.2V 26mW Configurable Multiuser Mobile MIMO-OFDM/-OFDMA Baseband Processor Motivations –Most are single user, SISO, downlink OFDM solutions –Training.
IPhone Noise Cancellation Meeting 10/1 Project group: May1315 Client: Rockwell Collins Advisor: Dr. George Amariucai.
FaridehShiran Department of Electronics Carleton University, Ottawa, ON, Canada SmartReflex Power and Performance Management Technologies.
Institute of Applied Microelectronics and Computer Engineering College of Computer Science and Electrical Engineering, University of Rostock Slide 1 Spezielle.
ULTRALEV: Ultra-Low-Energy Video Sensor Networks for IoT ($700B market) Technology Research Center University of Turku Finland.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
BLUE GENE Sunitha M. Jenarius. What is Blue Gene A massively parallel supercomputer using tens of thousands of embedded PowerPC processors supporting.
Low-power Task Scheduling for GPU Energy Reduction Li Tang, Yiji Zhang.
Institute of Applied Microelectronics and Computer Engineering College of Computer Science and Electrical Engineering, University of Rostock Slide 1 Power.
Power-Optimal Pipelining in Deep Submicron Technology
Lesson 8 CPUs Used in Personal Computers.
By Jonathan Bolus and Stuart Wooters
Inc. 32 nm fabrication process and Intel SpeedStep.
Energy Efficient Computing in Nanoscale CMOS
INTRODUCTION: MD. SHAFIQUL ISLAM ROLL: REGI:
Ultra-Low-Voltage UWB Baseband Processor
Literature Review Dongdong Chen Peng Huang
Top 6 Strategies of Digital Marketing.
1. Illustration of the Technology Scale down
Energy Efficient Power Distribution on Many-Core SoC
Lesson 8 CPUs Used in Personal Computers.
Analog Senior Projects 2019
Presentation transcript:

Practical Strategies for Power-Efficient Computing Technologies Karim Al-Sheraidah December 8 th 2011

2 Overview  Survey of Power reduction techniques  ~8x improvement in power efficiency  No performance lose  Voltage Scaling  Optimum VDD = 0.5 V  IBM Blue Gene system

3 Introduction  The Regime of interest

4 Introduction cont… P active = C eff V 2 ƒ + I leak V C eff is V dependent  C eff V 2 ∞ V 2.5 ƒ is linearly V dependent  ƒ = α(V – V 0 ) V 0 ≈ 0.25 V P active = αC eff V 2 (V – V 0 ) + I leak V ∞ V 3

5 The Case for Voltage Scaling Departing from scaling theory

6 The Case for Voltage Scaling Optimum VDD = 0.5 v

7 The Case for Voltage Scaling cont… Optimum VDD = 0.5 v

8 Enablement (1) Operating Margin improvement

9 Enablement (2) Low variability devices ET-SOI Fin-FET

10 Enablement (3) Digital Noise Resistive:dV R /V DD = IR/V DD ∞ ( V DD – V T ) 1.5 /V DD Capacitive:dV C /V DD = [C agg V DD /(C agg + C vic )]/V DD = C agg /(C agg + C vic ) Inductive:dV L /V DD = [ L ∂I/∂t ]/V DD ∞ (L I)/(V DD ҭ ) ∞ (V DD – V 0 )( V DD – V T ) 1.5 /V DD

11 Enablement (4) On-Chip Power System

12 Case study (IBM Blue Gene) - Top500 HPC from 2004 to Operating at 850MHz - Performance of up to 13.9Tflop parallel processor cores - Three chip voltage bins

13 Conclusion - Power efficiency through voltage scaling. - Optimum V DD = 0.5 v. - lowering of variability. - Increasing margin. - Massive parallelism. - High integration.