AoE Project Nano-Process Modeling: Lithography modeling and device fabrication Philip Chan, Mansun Chan Department of ECE, HKUST Edmund Lam Department.

Slides:



Advertisements
Similar presentations
Modeling and Simulation TWG Hsinchu Dec. 6, Modeling and Simulation TWG Paco Leon, Intel International TWG Members: I. Bork, Infineon E. Hall, Motorola.
Advertisements

SEQUOIA Physical & Timing Verification of Subwavelength-Scale Designs using Physical Simulation Robert Pack ( formerly of Cadence Berkeley Labs) Valery.
Circuit Extraction 1 Outline –What is Circuit Extraction? –Why Circuit Extraction? –Circuit Extraction Algorithms Goal –Understand Extraction problem –Understand.
Electrical transport and charge detection in nanoscale phosphorus-in-silicon islands Fay Hudson, Andrew Ferguson, Victor Chan, Changyi Yang, David Jamieson,
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Tutorial on Subwavelength Lithography DAC 99
ECE 6466 “IC Engineering” Dr. Wanda Wosik
Adaptive Control of a Multi-Bias S-Parameter Measurement System Dr Cornell van Niekerk Microwave Components Group University of Stellebosch South Africa.
Graphene & Nanowires: Applications Kevin Babb & Petar Petrov Physics 141A Presentation March 5, 2013.
Computer Vision Detecting the existence, pose and position of known objects within an image Michael Horne, Philip Sterne (Supervisor)
Ahmed Awad Atsushi Takahash Satoshi Tanakay Chikaaki Kodamay ICCAD’14
1 A Lithography-friendly Structured ASIC Design Approach By: Salman Goplani* Rajesh Garg # Sunil P Khatri # Mosong Cheng # * National Instruments, Austin,
Enhanced Resist and Etch CD Control by Design Perturbation Abstract Etch dummy features are used to reduce CD skew between resist and etch processes and.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
11/8/2004EE 42 fall 2004 lecture 291 Lecture #29 CMOS fabrication, clocked and latched circuits Last lecture: PMOS –Physical structure –CMOS –Dynamic circuits.
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz.
7/14/ Design for Manufacturability Prof. Shiyan Hu Office: EERC 731.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
Optional Reading: Pierret 4; Hu 3
Katedra Experimentálnej Fyziky Bipolar technology - the size of bipolar transistors must be reduced to meet the high-density requirement Figure illustrates.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
*F. Adamu-Lema, G. Roy, A. R. Brown, A. Asenov and S. Roy
1 Chemical Engineering Tools for Semiconductor Fabrication David Cohen, PhD AIChE Norcal Symposium April 12, 2005.
Szu-Wei Huang, C-V Lab, GIEE of NTU 1 黃 思 維 F Graduate Institute of Electronics Engineering National Taiwan University Advanced Multi-Gate Technologies.
Presented By : LAHSAINI Achraf & MAKARA Felipe.  Introduction  Difficult Challenges : > Difficult Challenges between 2013 – 2020 > Difficult Challenges.
© Pearson & GNU Su-Jin Kim MEMS Manufacturing Processes MEMS Devices The MEMS(Microelectromechanical systems) devices can be made through the IC Process:
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
1 Modeling and Simulation International Technology Roadmap for Semiconductors, 2004 Update Ashwini Ujjinamatada Course: CMPE 640 Date: December 05, 2005.
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #4. Ion Implantation  Introduction  Ion Implantation Process  Advantages Compared to Diffusion  Disadvantages.
© 2008, Reinaldo Vega UC Berkeley Top-Down Nanowire and Nano- Beam MOSFETs Reinaldo Vega EE235 April 7, 2008.
Top Down Manufacturing
Proximity Effect in EBL by: Abhay Kotnala January 2013
Top-Down Meets Bottom-Up: Dip-Pen Nanolithography and DNA-Directed Assembly of Nanoscale Electrical Circuits Student: Xu Zhang Chad A. Mirkin et al. Small.
IC Fabrication/Process
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
Developing Positive Negative Etching and Stripping Polymer Resist Thin Film Substrate Resist Exposing Radiation Figure 1.1. Schematic of positive and negative.
Scaling I Mohammad Sharifkhani. Reading Text book II (pp. 123)
Electromagnetically biased Self-assembly
CHAPTER 6: MOSFET & RELATED DEVICES CHAPTER 6: MOSFET & RELATED DEVICES Part 2.
Nano and Giga Challenges in Microelectronics Symposium and Summer School Research and Development Opportunities Cracow Sep , 2004 Afternoon 11: Nanotechnology.
UTB SOI for LER/RDF EECS Min Hee Cho. Outline  Introduction  LER (Line Edge Roughness)  RDF (Random Dopant Fluctuation)  Variation  Solution – UTB.
Trieste, 8-10 November 1999 CMOS technology1 Design rules The limitations of the patterning process give rise to a set of mask design guidelines called.
Chieh Chang EE 235 – Presentation IMarch 20, 2007 Nanoimprint Lithography for Hybrid Plastic Electronics Michael C. McAlpine, Robin S. Friedman, and Charles.
Fabrication of Lanthanum Oxide Nanostructures using Extremely Non- Equilibrium Plasma and their Characterization Onkar Mangla and M. P. Srivastava Onkar.
Feature-level Compensation & Control F LCC Lithography April 5, 2006 A UC Discovery Project.
RTL Simulator for VChip 1999/11/11 이재곤. RTL Simulator for VChip  현재 상황 Compiled-code 로 변환 중  VBS 의 내장된 obj 파일을 이용하려 하였으나 제 대로 구현되어 있지 않음  Obj 파일 :
Feature-level Compensation & Control F LCC Q&A on Our Year II Proposal Tutorial: Lithography as a Contributor to Device/Circuit Variability, September.
Integrated Circuits.
Prof. Jang-Ung Park (박장웅)
Etching Processes for Microsystems Fabrication
Chapter 4b Process Variation Modeling
Manufacturing Process I
UV-Curved Nano Imprint Lithography
Device Structure & Simulation
Chapter 1 & Chapter 3.
VLSI Design MOSFET Scaling and CMOS Latch Up
Manufacturing Process I
SILICON MICROMACHINING
LITHOGRAPHY Lithography is the process of imprinting a geometric pattern from a mask onto a thin layer of material called a resist which is a radiation.
Manufacturing Process I
Presentation transcript:

AoE Project Nano-Process Modeling: Lithography modeling and device fabrication Philip Chan, Mansun Chan Department of ECE, HKUST Edmund Lam Department of EEE, HKU

The role of Processing Modeling Processing Lithography Post-Lithography Processing Device Structure Device Characteristics Circuit Simulation Lateral Dimension (and variation) Lateral/vertical Dimensions, dopant/substrate interaction Structural parameters Current-voltage, charge, transport, quantum characteristics Inter-connected devices characteristics

Lithography Layout and Lithography *Mark E. Mason, DFM EDA Technology: A Lithographic Perspective, 2007 Symposium on VLSI Technology Layout2D SEM3D image Lithography is the driving force for scaling Lithography also becomes the major source of uncertainties

Lithography Impact on Device Characteristics Gate length and parasitics variation Leakage, noise margin and power consumption variation currently modeled as many transistors in parallel in 22nm technology, need to account for atomic level variations Lithography models needed for Design for Manufacturing (DFM)

Lithography Potential Lithography Solutions *Source: ITRS Lithography 2008

Lithography Optical Projection Lithography *Source: A. Wong, Resolution Enhancement Techniques in Optical Lithography. SPIE Press, p. 24

Lithography Mask Design Forward problem: from mask design to circuit output Inverse problem: from circuit output to mask design

Lithography Mask Design Pre-distort the mask!  needs optical system modeling Optical proximity correction (OPC) Rule-based and model-based maskcircuit Source adjustment Off-axis illumination (OAI) Source-mask optimization (SMO)

Lithography Design for Manufacturing (I) Process variations e.g. focus, dose Impact circuit behavior Require “robust” design “original” “robust” mask infocus defocus

Lithography Design for Manufacturing (II) Mask manufacturability (cost) need “regularization” Few segments Rectilinear shapes No close shapes (hotspots) unregularized regularized

post-Lithography Beyond Lithography Controlling vertical dimensions Further lateral dimension reduction The most common method to fabricate nanowire MOSFETs: stress limited oxidation Y. Tian, 2007 IEDM CEA/LETI, IEDM 2008 HKUST, EDL May 2009

post-Lithography Sidewall Etching Profile ♦ Existing Model *R. Zhou, et. al., “Simulation of the Bosch process with a string-cell hybrid method”, J. of Micromechanics and Microengineering, v. 14 (2004), ♦ Cannot explain the semicircular shape in single sidewall

The Etch-Deposition Model ♦ Etching with deposition C 4 F 8 – passivation agentSF 6 – etching agent ♦ deposited polymer only removed by the anisotropic component of the SF 6 etchant ♦ final shape depends on the ratio of the etch rate versus deposition rate post-Lithography

Oxidation modeling ♦ Can stress really limit the oxidation? post-Lithography ♦ Experimental results show no limit at high temperature ♦ No model can clearly capture these effects

Existing Model (Deal-Grove) post-Lithography

Crystal Orientation ♦ Crystal orientation dependent oxidation has not been accounted for post-Lithography ♦ Oxidation model has to be improved for non-planar devices due to multiple surfaces used

DFM Objectives Devices Task Lithography model Post-lithography processing model Model dependent intermediate device parameters Characteristic Variations Final geometry parameters Effects of irregular cross-sectional geometry on device characteristics Non-uniform quantum effects with non-circular/non-planar devices

Tasks Lithography Modeling ♦ Develop lithographic model, incorporating particularly parameters for the light source, and 3D mask effects ♦ Develop mask synthesis algorithms that are robustness against mask variations ♦ Incorporate regularization methods in solving inverse problems in imaging to tackle mask complexity ♦ Investigate the resulting parameter variations for input to the post-lithography processes

Etching/Oxidation Model Tasks ♦ Develop post-lithography atomic etching model base on etching with co-deposition ♦ Experimentally verify the effect of stress on nano-scale oxidation ♦ Develop crystal orientation dependent oxidation model ♦ Incorporate the new models into a process simulator to predict the final shape of the device

Process-Aware Device Modeling Tasks ♦ Study the impact of geometrical variation (e.g. non- uniform lateral dimension, non-ideal cross-section, atomic level dopant fluctuation) on the I-V characteristics including quantum effects ♦ Develop model based with an orthogonal set of parameters that correlate the geometry data to electrical characteristics ♦ Device fabrication and model verification

Second Phase of The Project Tasks ♦ To Integrate the process models and device models to take in lithography process parameters and produce the corresponding device characteristics ♦ From the variations of process to predict the variation of device characteristics ♦ Develop inverse process algorithm to produce lithography patterns and process parameters to minimize the variation of device characteristics