Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).

Slides:



Advertisements
Similar presentations
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Advertisements

ENEL111 Digital Electronics
Simulation executable (simv)
ECE Synthesis & Verification - Lecture 2 1 ECE 667 Spring 2011 ECE 667 Spring 2011 Synthesis and Verification of Digital Circuits High-Level (Architectural)
Combinational Logic with Verilog Materials taken from: Digital Design and Computer Architecture by David and Sarah Harris & The Essentials of Computer.
CSE 341 Verilog HDL An Introduction. Hardware Specification Languages Verilog  Similar syntax to C  Commonly used in  Industry (USA & Japan) VHDL 
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics High-level synthesis. Architectures for low power. GALS design.
Modern VLSI Design 3e: Chapter 10 Copyright  2002 Prentice Hall Adapted by Yunsi Fei ECE 300 Advanced VLSI Design Fall 2006 Lecture 24: CAD Systems &
Hardware Description Languages Drawing of circuit schematics is not practical for circuits containing more than few tens of gates. We need a way to just.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4a1 Design for Testability Theory and Practice Lecture 4a: Simulation n What is simulation? n Design.
1 Lecture 1: Verilog HDL Introduction. 2 What is Verilog HDL? Verilog Hardware Description Language(HDL)? –A high-level computer language can model, represent.
Design For Verification Synopsys Inc, April 2003.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
CSE241 R1 Verilog.1Kahng & Cichy, UCSD ©2003 CSE241 VLSI Digital Circuits Winter 2003 Recitation 1: Verilog Introduction.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI System Design Lecture 4 - Advanced Verilog.
Digital System Design Verilog ® HDL Maziar Goudarzi.
Spring 2002EECS150 - Lec0-intro Page 1 EECS150 - Digital Design Lecture 8 - Hardware Description Languages February 14, 2002 John Wawrzynek.
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
(1) Modeling Digital Systems © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
CSET 4650 Field Programmable Logic Devices
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
VHDL Structured Logic Design School of Electrical Engineering University of Belgrade Department of Computer Engineering Ivan Dugic Veljko.
Verilog Digital System Design Z. Navabi, McGraw-Hill, 2005
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
1 H ardware D escription L anguages Modeling Digital Systems.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
Digital System 數位系統 Verilog HDL Ping-Liang Lai (賴秉樑)  
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Basics of register-transfer design: –data paths and controllers; –ASM charts. Pipelining.
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
Module 1.2 Introduction to Verilog
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics VHDL register-transfer modeling: –basics using traffic light controller; –synthesis.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
Slide 1 2. Verilog Elements. Slide 2 Why (V)HDL? (VHDL, Verilog etc.), Karen Parnell, Nick Mehta, “Programmable Logic Design Quick Start Handbook”, Xilinx.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Hardware Description Languages Digital Logic Design Instructor: Kasım Sinan YILDIRIM.
ECE-C662 Lecture 2 Prawat Nagvajara
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
CSCI-365 Computer Organization Lecture Note: Some slides and/or pictures in the following are adapted from: Computer Organization and Design, Patterson.
Introduction to ASIC flow and Verilog HDL
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Basics of register-transfer design: –data paths and controllers; –ASM.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
COE 202 Introduction to Verilog Computer Engineering Department College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
1 Lecture 1: Verilog HDL Introduction. 2 What is Verilog HDL? Verilog Hardware Description Language(HDL)? –A high-level computer language can model, represent.
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Verilog register-transfer modeling: –basics using traffic light controller;
1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 61 Lecture 6 Logic Simulation n What is simulation? n Design verification n Circuit modeling n True-value.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Adapted from Krste Asanovic
ASIC Design Methodology
Combinational Logic Design
Digital System Design An Introduction to Verilog® HDL
VLSI Testing Lecture 5: Logic Simulation
Topics Modeling with hardware description languages (HDLs).
VLSI Testing Lecture 5: Logic Simulation
Topics Modeling with hardware description languages (HDLs).
Week 5, Verilog & Full Adder
Lecture 1.3 Hardware Description Languages (HDLs)
Lesson 4 Synchronous Design Architectures: Data Path and High-level Synthesis (part two) Sept EE37E Adv. Digital Electronics.
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
H a r d w a r e M o d e l i n g O v e r v i e w
Digital Designs – What does it take
COE 202 Introduction to Verilog
Presentation transcript:

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Hardware description languages Textual languages for describing hardware: –structure; –function. Most people today use textual languages rather than schematics for most digital design. –Schematics make poor use of screen space.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Major HDLs Two major HDLs designed for simulation: –VHDL; –Verilog. –Similar capabilities but somewhat different language philosophies. EDIF is a standard netlist format.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Simulation vs. programming Simulation tags computations with times. –Must know when signals change to properly simulate hardware. Simulation is parallel. –Many statements can execute at the same (simulation) time. –Just like hardware.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Types of simulation Compiled code simulation. –Generate program that evaluates a hardware block. –Operational details within the hardware block are lost. Event-driven simulation. –Propagate events through simulation. –Don’t simulate a block until its inputs change.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Event-driven simulation An event is a change in a net’s value. An event has two components: –value; –time. timet=35 ns 35 ns net event net1

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Propagate events only when nets change value. If an input change doesn’t cause an output change, no event is propagated no event 1 0

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Timewheel The timewheel is a data structure in the simulator that efficiently determines the order of events processed. Events are placed on the timewheel in time order. Events are taken out of the head of the timewheel to process them in order.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Timewheel operation a b c ns netlist timewheel 1 ns 1 2 ns 0 time

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Order of evaluation Order of evaluation is important. –Causality must be obeyed. Evaluating events in the wrong order can cause inaccurate results.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Order of evaluation example a b c netlist timewheel 1 ns 1 2 ns 1 d e 1 4 ns 0 1 time

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Modeling Structural modeling describes the connections between components. –Netlists are structural models. Behavioral models describes the functional relationship between inputs and outputs. –Similar to programming but values are events.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf HDLs language constructs Must be able to define component types. –A model may be behavioral or structural. May be able to define abstract data types. –A wire may carry an enumerated value. –Multi-valued simulation may be defined using abstract data types. May be able to define modules to control the scope of names.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Testbenches A testbench is a model used to exercise a simulation. –Provides stimulus. –Checks outputs. Testbenches help automate design verification. –Rerun edited module against testbench. –Run models at behavioral, RTL levels against the same testbench.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Synthesis subsets VHDL and Verilog were designed for simulation. A synthesis subset is: –synthesizable; –produces consistent simulation results. Different tools may use different synthesis subsets.

Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Register-transfer synthesis Most common type of synthesis. Synthesizes gates from abstract RT model. –Registers are explicit. –Some tools will infer storage elements---be careful. Optimized for performance, area, power.