Reconfigurable Clock Distribution Circuitry Circuit and Systems,2007.ISCAS 2007.IEEE International Symposium on 27-30 May 2007 Page(s):877 - 880 Atanu.

Slides:



Advertisements
Similar presentations
The Bus Architecture of Embedded System ESE 566 Report 1 LeTian Gu.
Advertisements

Xilinx Virtex-5 FPGA Clocking
POWER ELECTRONICS Instructor: Eng.Moayed N. EL Mobaied The Islamic University of Gaza Faculty of Engineering Electrical Engineering Department بسم الله.
Instructor: Eng.Moayed N. EL Mobaied
Chapter 7 Operational-Amplifier and its Applications
Reconfigurable Computing (EN2911X, Fall07) Lecture 04: Programmable Logic Technology (2/3) Prof. Sherief Reda Division of Engineering, Brown University.
L06 – Clocks Spring /18/05 Clocking.
Reconfigurable Computing - Clocks John Morris Chung-Ang University The University of Auckland ‘Iolanthe’ at 13 knots on Cockburn Sound, Western Australia.
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 19: Timing Issues; Introduction to Datapath.
Clock Design Adopted from David Harris of Harvey Mudd College.
Chapter 11 Timing Issues in Digital Systems Boonchuay Supmonchai Integrated Design Application Research (IDAR) Laboratory August 20, 2004; Revised - July.
A Novel Clock Distribution and Dynamic De-skewing Methodology Arjun Kapoor – University of Colorado at Boulder Nikhil Jayakumar – Texas A&M University,
Low Power Design for Wireless Sensor Networks Aki Happonen.
Lecture 8: Clock Distribution, PLL & DLL
Clock Distribution Scheme using Coplanar Transmission Lines Victor Cordero Sunil P Khatri Department of ECE Texas A&M University
Programmable logic and FPGA
A Server-less Architecture for Building Scalable, Reliable, and Cost-Effective Video-on-demand Systems Presented by: Raymond Leung Wai Tak Supervisor:
Lecture 5 – Power Prof. Luke Theogarajan
Lecture 7: Power.
Chapter 8 Inverters AC Power • Inverters • Power Conditioning Units • Inverter Features and Specifications.
Lecture 22: PLLs and DLLs. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 22: PLLs and DLLs2 Outline  Clock System Architecture  Phase-Locked Loops  Delay-Locked.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Juanjo Noguera Xilinx Research Labs Dublin, Ireland Ahmed Al-Wattar Irwin O. Irwin O. Kennedy Alcatel-Lucent Dublin, Ireland.
Motivation Yang You 1, Jinghong Chen 1, Datao Gong 2, Deping Huang 1, Tiankuan Liu 2, Jingbo Ye 2 1 Department of Electrical Engineering, Southern Methodist.
Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical.
© H. Heck 2008Section 4.41 Module 4:Metrics & Methodology Topic 4: Recovered Clock Timing OGI EE564 Howard Heck.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Department of Computer Science and Engineering Applied Research Laboratory A TCP/IP Based Multi-Device Programming Circuit David V. Schuehler – Harvey.
Department of Electrical and Computer Engineering
MOUSETRAP Ultra-High-Speed Transition-Signaling Asynchronous Pipelines Montek Singh & Steven M. Nowick Department of Computer Science Columbia University,
SMART: A Single- Cycle Reconfigurable NoC for SoC Applications -Jyoti Wadhwani Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, Suvinay Subramaniam,
Presenter: Chun-Han Hou ( 侯 鈞 瀚)
Kuang-Yu,Li 2013 IEE5011 –Autumn 2013 Memory Systems Duty Cycle Correctors (DCC) In GDDR5 SDRAM Kuang-Yu, Li Department of Electronics Engineering National.
Prof. Joongho Choi CMOS CLOCK-RELATED CIRCUIT DESIGN Integrated Circuits Spring 2001 Dept. of ECE University of Seoul.
Integrated Placement and Skew Optimization for Rotary Clocking A paper by: Ganesh Venkataraman, Student Member, IEEE, Jiang Hu, Member, IEEE, and Frank.
A Low-Jitter 8-to-10GHz Distributed DLL for Multiple-Phase Clock Generation Keng-Jan Hsiao and Tai-Cheng Lee National Taiwan University Taipei, Taiwan.
1 A Frequency Synthesizer Using Two Different Delay Feedbacks 班級:積體所碩一 學生:林欣緯 指導教授:林志明 教授 Circuits and Systems, ISCAS IEEE International Symposium.
ICECS 2010 First Order Noise Shaping Time-to-Digital Converter
Radix-2 2 Based Low Power Reconfigurable FFT Processor Presented by Cheng-Chien Wu, Master Student of CSIE,CCU 1 Author: Gin-Der Wu and Yi-Ming Liu Department.
CS 8501 Networks-on-Chip (NoCs) Lukasz Szafaryn 15 FEB 10.
Feng-Xiang Huang Test Symposium(ETS), th IEEE European Ko, Ho Fai; Nicolici, Nicola; Department of Electrical and Computer Engineering,
UNIVERSITY OF ROSTOCK Institute of Applied Microelectronics and Computer Science Single-Rail Self-timed Logic Circuits in Synchronous Designs Frank Grassert,
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
Introduction to Clock Tree Synthesis
SCORES: A Scalable and Parametric Streams-Based Communication Architecture for Modular Reconfigurable Systems Abelardo Jara-Berrocal, Ann Gordon-Ross NSF.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
Clock Networks and PLLs in Altera’s Stratix III Devices VLSI Systems I Fall 2007 Hamid Abbaalizadeh.
Advanced Science and Technology Letters Vol.106 (Information Technology and Computer Science 2015), pp.27-32
Self-Tuned Distributed Multiprocessor System Xiaoyan Bi CSC Operating Systems Dr. Mirela Damian.
EE 597G/CSE 578A Project Proposal Presentation Phase-Locked Loop Han-Wei Chen & Ming-Wei Liu The Pennsylvania State University.
Institute of Applied Microelectronics and Computer Engineering College of Computer Science and Electrical Engineering, University of Rostock Slide 1 Spezielle.
RTL Hardware Design by P. Chu Chapter 9 – ECE420 (CSUN) Mirzaei 1 Sequential Circuit Design: Practice Shahnam Mirzaei, PhD Spring 2016 California State.
EE141 Timing Issues 1 Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003 Rev /05/2003.
EE141 Timing Issues 1 Chapter 10 Timing Issues Rev /11/2003.
RECONFIGURABLE ANTENNA
Hugo Furtado CERN - Microelectronics Group 11th Workshop on Electronics for LHC and future Experiments Delay25, an ASIC for timing adjustment in LHC Delay25.
End OF Column Circuits – Design Review
Low Jitter PLL clock frequency multiplier
BCTW calibration status and future
A Wireless Sensor Node SoC with a Profiled Power Management Unit for IR Controllable Digital Consumer Devices Dong-Sun Kim, Member, IEEE, Byung-Soo Kim,
The Xilinx Virtex Series FPGA
Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003
MCP Electronics Time resolution, costs
A High Performance SoC: PkunityTM
The Xilinx Virtex Series FPGA
A 12 bit 50 MS/s Dual Channel Time Domain Two Step ADC
Lecture 22: PLLs and DLLs.
A 12 bit 50 MS/s Dual Channel Time Domain Two Step ADC
Presentation transcript:

Reconfigurable Clock Distribution Circuitry Circuit and Systems,2007.ISCAS 2007.IEEE International Symposium on May 2007 Page(s): Atanu Chattopadhyay, Zeljko Zilic Department of Electrical and Computer Engineering, McGill University Montreal, Quebec, Canada Presented by: Ishrath Fatima

Features Skew ranges from ps for a 3-clock domain/15-tap configuration. Worst case skew under 4% for all frequencies. Power consumption of about 62.82mW No de-skewing method used. Frequency : 1.9GHz Clock Period: 525ps Reference-based scheme for skew compensation. Daisy chaining the clock to distribute clock without distortion and reducing the clock load. PLL replaced by delay lines, which reduces power consumption. Reconfigurable & reprogrammable clock distribution network.

Reference Based clock distribution

Reference Based clock distribution Architecture

Reference Based clock distribution scheme Device is sub-divided into multiple regions. H-Tree to distribute clock from tap to leaves. Bi-directional clock distribution line. Its scalable and compatible with irregularly shaped distribution areas. Clock distribution line has a constant delay ‘K’ over its entire length. Phases : Synchronization, Calibration and operation. Synchronization: The forward clock is delayed to align with reverse clock, which results in each local clock to a position directly between forward and reverse moving reference clocks, results in skew free clocks at each tap. Calibration: Disable source delay element for an appropriate “average clock”and align polarity of local clock’s by inverting appropriate taps. Operation: Unused circuitry disabled to save power. Each local delay line is required to save the delay settings determined during synchronization. Clock buffers allow us to re-direct clocks dynamically at certain pre- defined switch points, making distribution reconfigurable.

15-tap Reconfigurable Clock Distributions

References A. Chattopadhyay and Z. Zilic “Reference-based clock distribution architectures,” Proc. MWSCAS H. Lee, H. Q. Nguyen, and D. W. Potter, “Design self-synchronized clock distribution networks in an SoC ASIC using DLL with remote clock feedback,” Proc ASICSOC 2000,

Questions??