Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.

Slides:



Advertisements
Similar presentations
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
Advertisements

Digital System Clocking:
Issues in System on the Chip Clocking November 6th, 2003 SoC Design Conference, Seoul, KOREA Vojin G. Oklobdzija Advanced Computer System Engineering Laboratory.
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
1 Chapter Design For Testability The Scan-Path Technique The testing problems with sequential circuit can be overcome by two properties: 1.The.
L06 – Clocks Spring /18/05 Clocking.
Design and Application of Power Optimized High-Speed CMOS Frequency Dividers.
Intel Microprocessor Features Pentium  IIPentium  IIIPentium  4 MPR Issue June 1997April 2000Dec 2001 Clock Speed 266 MHz1GHz2GHz Pipeline Stages 12/14.
Chapter 1 An Introduction To Microprocessor And Computer
Original Authors: Stefan Rusu, Simon Tam, Harry Muljono, Jason Stinson, David Ayers, Jonathan Chang, Raj Varada, Matt Ratta, Sailesh Kottapalli Some slides.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Sequential Definitions  Use two level sensitive latches of opposite type to build one master-slave flipflop that changes state on a clock edge (when the.
EE141 © Digital Integrated Circuits 2nd Timing Issues 1 Digital Integrated Circuits A Design Perspective Timing Issues Jan M. Rabaey Anantha Chandrakasan.
CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey’s Digital Integrated Circuits,
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 19: Timing Issues; Introduction to Datapath.
Clock Design Adopted from David Harris of Harvey Mudd College.
Chapter 11 Timing Issues in Digital Systems Boonchuay Supmonchai Integrated Design Application Research (IDAR) Laboratory August 20, 2004; Revised - July.
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2002 Complex Digital Circuits Design Lecture 2: Timing Issues; [Adapted from Rabaey’s Digital Integrated.
SEQUENTIAL LOGIC Digital Integrated Circuits© Prentice Hall 1995 Introduction.
Digital System Clocking:
Digital Integrated Circuits© Prentice Hall 1995 Sequential Logic SEQUENTIAL LOGIC.
Spring 2002EECS150 - Lec02-CMOS Page 1 EECS150 - Digital Design Lecture 2 - CMOS January 24, 2002 John Wawrzynek.
EE314 Basic EE II Silicon Technology [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Laboratory of Reliable Computing Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan Delay Defect Characteristics and Testing.
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
Chapter #6: Sequential Logic Design 6.2 Timing Methodologies
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
1 Chapter 4 The Central Processing Unit and Memory.
1 Microprocessor speeds Measure of system clock speed –How many electronic pulses the clock produces per second (clock frequency) –Usually expressed in.
Computer Organization and Assembly language
Design for Testability
ECE 301 – Digital Electronics Flip-Flops and Registers (Lecture #15)
Transmission gate latches. MSL with unprotected input (Gerosa et al. 1994), Copyright © 1994 IEEE.
Computer performance.
CSE378 Gen. Intro1 Machine Organization and Assembly Language Programming Machine Organization –Hardware-centric view (in this class) –Not at the transistor.
Dept. of Communications and Tokyo Institute of Technology
Digital Integrated Circuits© Prentice Hall 1995 Sequential Logic SEQUENTIAL LOGIC.
© Digital Integrated Circuits 2nd Sequential Circuits Digital Integrated Circuits A Design Perspective Designing Sequential Logic Circuits Jan M. Rabaey.
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
Low Power Techniques in Processor Design
Power Saving at Architectural Level Xiao Xing March 7, 2005.
Evolution in Complexity Evolution in Transistor Count.
Abdullah Aldahami ( ) Feb26, Introduction 2. Feedback Switch Logic 3. Arithmetic Logic Unit Architecture a.Ripple-Carry Adder b.Kogge-Stone.
Introduction to CMOS VLSI Design Lecture 22: Case Study: Intel Processors David Harris Harvey Mudd College Spring 2004.
Digital Integrated Circuits© Prentice Hall 1995 Sequential Logic SEQUENTIAL LOGIC.
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Chapter Overview Microprocessors Replacing and Upgrading a CPU.
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
Architecture of Microprocessor
Chapter 5: Computer Systems Design and Organization Dr Mohamed Menacer Taibah University
Interconnect/Via.
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
11/15/05ELEC / Lecture 191 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
EE141 Timing Issues 1 Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003 Rev /05/2003.
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 19: Timing Issues; Introduction to Datapath.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
SPRING 2012 Assembly Language. Definition 2 A microprocessor is a silicon chip which forms the core of a microcomputer the concept of what goes into a.
Architecture & Organization 1
INTRODUCTION TO MICROPROCESSORS
Architecture & Organization 1
Future Directions in Clocking Multi-GHz Systems ISLPED 2002 Tutorial This presentation is available at: under Presentations.
Clocking in High-Performance and Low-Power Systems Presentation given at: EPFL Lausanne, Switzerland June 23th, 2003 Vojin G. Oklobdzija Advanced.
Digital System Clocking:
Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003
Chapter 1 Introduction.
INTRODUCTION TO COMPUTER ARCHITECTURE
Presentation transcript:

Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience and IEEE Press, January 2003 Chapter 9: Microprocessor Examples

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic2 Microprocessor Examples Clocking for Intel® Microprocessors IA-32 Pentium® Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III® Clocking Clocking and CSEs Alpha® Clocking: A Historical Overview Clocking and CSEs IBM® Microprocessors Level-Sensitive Scan Design Examples of CSEs

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic3 Microprocessor Examples Clocking for Intel® Microprocessors IA-32 Pentium® Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III® Clocking Clocking and CSEs Alpha® Clocking: A Historical Overview Clocking and CSEs IBM® Microprocessors Level-Sensitive Scan Design Examples of CSEs

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic4 Source: Microprocessor Report Journal Pentium  IIPentium  IIIPentium  4 MPR Issue June 1997April 2000Dec 2001 Clock Speed 266 MHz1GHz2GHz Pipeline Stages 12/14 22/24 Transistors 7.5M24M42M Cache (I/D/L2) 16k/16K/-16K/16K/256K12K/8K/256K Die Size 203mm 2 106mm 2 217mm 2 IC Process 0.28  m, 4M0.18  m, 6M Max Power 27W23W67W Intel® Microprocessor Features

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic5 Clock distribution network with deskewing circuit (Geannopoulos and Dai 1998), Copyright © 1998 IEEE IA-32 Pentium® Pro

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic6 Delay shift register (Geannopoulos and Dai 1998), Copyright © 1998 IEEE IA-32 Pentium® Pro

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic7 Phase detector (Geannopoulos and Dai 1998), Copyright © 1998 IEEE IA-32 Pentium® Pro

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic8 Clock distribution topology (Rusu and Tam 2000), Copyright © 2000 IEEE First IA-64 Microprocessor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic9 Deskew buffer architecture (Rusu and Tam 2000), Copyright © 2000 IEEE First IA-64 Microprocessor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic10 Digitally controlled delay line (Rusu and Tam 2000), Copyright © 2000 IEEE First IA-64 Microprocessor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic11 Simulated regional clock-grid skew (Rusu and Tam 2000), Copyright © 2000 IEEE First IA-64 Microprocessor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic12 Measured regional clock skew (Rusu and Tam 2000), Copyright © 2000 IEEE First IA-64 Microprocessor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic13 Core and I/O clock generation (Kurd et al. 2001), Copyright © 2001 IEEE Pentium® 4

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic14 Logical diagram of core clock distribution (Kurd et al. 2001), Copyright © 2001 IEEE Pentium® 4

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic15 Example of local clock buffers generating various frequency, phase and types of clocks (Kurd et al. 2001), Copyright © 2001 IEEE Pentium® 4

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic16 Microprocessor Examples Clocking for Intel® Microprocessors IA-32 Pentium® Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III® Clocking Clocking and CSEs Alpha® Clocking: A Historical Overview Clocking and CSEs IBM® Microprocessors Level-Sensitive Scan Design Examples of CSEs

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic17 UltraSPARC-I®UltraSPARC-II®UltraSPARC-III® Year ArchitectureSPARC V9, 4-issue Die size17.7x17.8mm x12.5mm 2 15x15.5mm 2 # of transistors5.2M5.4M23M Clock Frequency167MHz330MHz1GHz Supply voltage3.3V2.5V1.6V Process 0.5  m CMOS0.35  m CMOS0.15  m CMOS Metal layers4 (Al)5 (Al)7 (Al) Power consumption <30W <80W UltraSPARC® Family Characteristics

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic18 Clock distribution delay in UltraSPARC-III (Heald et al. 2000), Copyright © 2000 IEEE UltraSPARC-III®: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic19 Semidynamic flip-flop (Klass 1998), Copyright © 1998 IEEE UltraSPARC-III: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic20 (a)Logic embedding in a semidynamic flip-flop; (b)Two-input XOR function. (Klass, 1998), Copyright © 1998 IEEE UltraSPARC-III: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic21 Dynamic versions of semidynamic flip-flop: single-ended; Differential. (Klass 1998), Copyright © 1998 IEEE UltraSPARC-III: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic22 UltraSPARC-III flip-flop (Heald et al. 2000), Copyright © 2000 IEEE UltraSPARC-III: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic23 Microprocessor Examples Clocking for Intel® Microprocessors IA-32 Pentium® Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III® Clocking Clocking and CSEs Alpha® Clocking: A Historical Overview Clocking and CSEs IBM® Microprocessors Level-Sensitive Scan Design Examples of CSEs

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic # transistors [M] Die Size [mm 2 ] 16.8x x x x18.8 Process 0.75  m0.5  m0.35  m0.18  m Supply [V] Power [W] Clk Freq. [MHz] Gates/Cycle Alpha® Microprocessor Features

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic25 Alpha microprocessor final clock driver location: (a) 21064, (b) 21164, (c) (a)(b)(c) Alpha® Microprocessors: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic clock skew (Gronowski et al. 1998), Copyright © 1998 IEEE Alpha® Microprocessors: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic clock skew (Gronowski et al. 1998), Copyright © 1998 IEEE Alpha® Microprocessors: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic clock hierarchy (Gronowski et al. 1998), Copyright © 1998 IEEE Alpha® Microprocessors: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic clock skew (Gronowski et al. 1998), Copyright © 1998 IEEE Alpha® Microprocessors: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic major clock domains (Xanthopoulos et al. 2001), Copyright © 2001 Alpha® Microprocessors: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic , NCLK clock skew (Xanthopoulos et al. 2001), Copyright © 2001 IEEE Alpha® Microprocessors: Clocking

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic modified TSPC latches (Gronowski et al. 1998), Copyright © 1998 Alpha® µ P: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic : (a) phase-A latch, (b) phase-B latch (Gronowski et al. 1998), Copyright © 1998 IEEE (a)(b) Alpha® µ P: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic34 Embedding of logic into a latch: (a) TSPC latch, one level of logic; (b) latch, two levels of logic. (Gronowski et al. 1998), Copyright © 1998 IEEE (a)(b) Alpha® µ P: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic flip-flop (Gronowski et al. 1998), Copyright © 1998 IEEE Alpha® µ P: Clock Storage Elements

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic36 Critical-path and race analysis for clock buffering and conditioning (Gronowski et al. 1998), Copyright © 1998 IEEE Alpha® Microprocessors: Timing

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic37 Microprocessor Examples Clocking for Intel® Microprocessors IA-32 Pentium® Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III® Clocking Clocking and CSEs Alpha® Clocking: A Historical Overview Clocking and CSEs IBM® Microprocessors Level-Sensitive Scan Design Examples of CSEs

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic38 Eichelberger 1983 Hazard-Free Level-Sensitive Polarity-Hold Latch

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic39 General LSSD Configuration

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic40 LSSD Shift Register Latch

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic41 LSSD Double Latch Design

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic42 LSSD SRL with multiplexer used in the IBM S/390 G4 processor (Sigal et al. 1997), reproduced by permission IBM® S/390 Parallel Server Processor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic43 Static multiplexer version of the SRL used in the IBM S/390 G4 (Sigal et al. 1997), reproduced by permission IBM® S/390 Parallel Server Processor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic44 A clocked storage element is used in the non-timing-critical timing macros of the IBM S/390 G4 processor (Sigal et al. 1997), reproduced by permission IBM® S/390 Parallel Server Processor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic45 The clock-generation element used to detect problems created with fast paths: IBM S/390 G4 processor (Sigal et al. 1997), reproduced by permission IBM® S/390 Parallel Server Processor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic46 The experimental IBM PowerPC processor (Silberman et al. 1998), reproduced by permission IBM® PowerPC Processor

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic47 The PowerPC 603 MSL (Gerosa et al. 1994), Copyright © 1994 IEEE IBM® PowerPC 603: Master-Slave Latch

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic48 The PowerPC 603 local clock regenerator (Gerosa et al. 1994), Copyright © 1994 IEEE IBM® PowerPC 603: Local Clk Generator

Nov. 14, 2003Digital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic49 Summary Intel® Microprocessors Active clock deskewing in Pentium® processors Sun Microsystems® Processors Semidynamic flip-flop (one of the fastest single-ended flip-flops today, “soft-edge”) Alpha® Processors Performance leader in the ‘90s Incorporating logic into CSEs IBM® Processors Design for testability techniques Low-power champion PowerPC 603