-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.

Slides:



Advertisements
Similar presentations
Gregory Shklover, Ben Emanuel Intel Corporation MATAM, Haifa 31015, Israel Simultaneous Clock and Data Gate Sizing Algorithm with Common Global Objective.
Advertisements

(1/25) UCSD VLSI CAD Laboratory - ISQED10, March. 23, 2010 Toward Effective Utilization of Timing Exceptions in Design Optimization Kwangok Jeong, Andrew.
OCV-Aware Top-Level Clock Tree Optimization
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
Minimum Implant Area-Aware Gate Sizing and Placement
High-Performance Gate Sizing with a Signoff Timer
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Minimum-Buffered Routing of Non- Critical Nets for Slew Rate and Reliability Control Supported by Cadence Design Systems, Inc. and the MARCO Gigascale.
Intrinsic Shortest Path Length: A New, Accurate A Priori Wirelength Estimator Andrew B. KahngSherief Reda VLSI CAD Laboratory.
UCSD VLSI CAD Laboratory and UIUC PASSAT Group - ASPDAC, Jan. 21, 2010 Slack Redistribution for Graceful Degradation Under Voltage Overscaling Andrew B.
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
Power-Aware Placement
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Toward PDN Resource Estimation: A Law of General Power Density Kwangok Jeong and Andrew B. Kahng
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Measurement of Inherent Noise in EDA Tools Andrew B. Kahng* and Stefanus Mantik * UCSD CSE and ECE Departments, La Jolla, CA UCLA CS Department, Los Angeles,
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
1 A Tale of Two Nets: Studies in Wirelength Progression in Physical Design Andrew B. Kahng Sherief Reda CSE Department University of CA, San Diego.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Automated Design.
Interconnect Implications of Growth-Based Structural Models for VLSI Circuits* Chung-Kuan Cheng, Andrew B. Kahng and Bao Liu UC San Diego CSE Dept.
Methodology from Chaos in IC Implementation Kwangok Jeong * and Andrew B. Kahng *,** * ECE Dept., UC San Diego ** CSE Dept., UC San Diego.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
UC San Diego / VLSI CAD Laboratory Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability Tuck-Boon Chan, Andrew B. Kahng,
-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew.
Andrew B. Kahng‡†, Mulong Luo†, Siddhartha Nath†
Dose Map and Placement Co-Optimization for Timing Yield Enhancement and Leakage Power Reduction Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
-1- UC San Diego / VLSI CAD Laboratory A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo.
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University.
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
1 Design Space Exploration for Power-Efficient Mixed-Radix Ling Adders Chung-Kuan Cheng Computer Science and Engineering Depart. University of California,
Ashley Brinker Karen Joseph Mehdi Kabir ECE 6332 – VLSI Fall 2010.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara.
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Introduction to CMOS VLSI Design Lecture 5: Logical Effort GRECO-CIn-UFPE Harvey Mudd College Spring 2004.
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
High-Performance Gate Selection with a Signoff Timer Andrew B. Kahng *, Seokhyeong Kang *, Hyein Lee *, Igor L. Markov + and Pankit Thapar + UC San Diego.
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits Jun Seomun, Jaehyun Kim, Youngsoo Shin Dept. of Electrical Engineering, KAIST,
Outline Introduction: BTI Aging and AVS Signoff Problem
-1- Statistical Analysis and Modeling for Error Composition in Approximate Computation Circuits Wei-Ting Jonas Chan 1, Andrew B. Kahng 1, Seokhyeong.
Eyecharts: Constructive Benchmarking of Gate Sizing Heuristics Puneet Gupta, University of California, Los Angeles Andrew B. Kahng, University of California,
On the Relation between SAT and BDDs for Equivalence Checking Sherief Reda Rolf Drechsler Alex Orailoglu Computer Science & Engineering Dept. University.
Improving Voltage Assignment by Outlier Detection and Incremental Placement Huaizhi Wu* and Martin D.F. Wong** * Atoptech, Inc. ** University of Illinois.
UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong.
Static Timing Analysis
Outline Motivation and Contributions Related Works ILP Formulation
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
-1- UC San Diego / VLSI CAD Laboratory Optimal Reliability-Constrained Overdrive Frequency Selection in Multicore Systems Andrew B. Kahng and Siddhartha.
PROCEED: Pareto Optimization-based Circuit-level Evaluation Methodology for Emerging Devices Shaodi Wang, Andrew Pan, Chi-On Chui and Puneet Gupta Department.
Kun Young Chung*, Andrew B. Kahng+ and Jiajia Li+
Revisiting and Bounding the Benefit From 3D Integration
Standard-Cell Mapping Revisited
SAT-Based Area Recovery in Technology Mapping
Fast Min-Register Retiming Through Binary Max-Flow
Presentation transcript:

-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI CAD LABORATORY, UC San Diego International Symposium on Physical Design March 27 th, 2012

-2- Outline Background and Motivation Background and Motivation Benchmark Generation Benchmark Generation Experimental Framework and Results Experimental Framework and Results Conclusions and Ongoing Work Conclusions and Ongoing Work

-3- Gate Sizing in VLSI Design Gate sizing Gate sizing –Essential for power, delay and area optimization –Tunable parameters: gate-width, gate-length and threshold voltage –Sizing problem seen in all phases of RTL-to-GDS flow Common heuristics/algorithms Common heuristics/algorithms –LP, Lagrangian relaxation, convex optimization, DP, sensitivity-based gradient descent,... 1.Which heuristic is better? 2.How suboptimal a given sizing solution is?  systematic and quantitative comparison is required

-4- Suboptimality of Sizing Heuristics Eyechart * Eyechart * –Built from three basic topologies, optimally sized with DP – allow suboptimalities to be evaluated –Non-realistic: Eyechart circuits have different topology from real design – large depth (650 stages) and small Rent parameter (0.17) More realistic benchmarks are required along w/ automated generation flow More realistic benchmarks are required along w/ automated generation flow *Gupta et al., “Eyecharts: Constructive Benchmarking of Gate Sizing Heuristics”, DAC Chain MESH STAR

-5- Our Work: Realistic Benchmark Generation w/ Known Optimal Solution 1.Propose benchmark circuits with known optimal solutions 2.The benchmarks resemble real designs – Gate count, path depth, Rent parameter and net degree 3.Assess suboptimality of standard gate sizing approaches Automated benchmark generation flow

-6- Outline Background and Motivation Background and Motivation Benchmark Considerations and Generation Benchmark Considerations and Generation Experimental Framework and Results Experimental Framework and Results Conclusions and Ongoing Work Conclusions and Ongoing Work

-7- Benchmark Considerations Realism vs. Tractability to Analysis – opposing goals Realism vs. Tractability to Analysis – opposing goals To construct realistic benchmark: use design characteristic parameters To construct realistic benchmark: use design characteristic parameters –# primary ports, path depth, fanin/fanout distribution To enable known optimal solutions To enable known optimal solutions –Library simplification as in Gupta et al. 2010: slew-independent library design: JPEG Encoder Fanin distirbution 25%: 1-input 60%: 2-input 15%: >3-input Path depth: 72 Avg. net degree: 1.84 Rent parameter: 0.72

-8- Benchmark Generation

-9- Benchmark Generation: Construct Chains 1.Construct N chains each with depth k (N*k cells) 2.Assign gate instance according to fid(i) 3.Assign # fanouts to output ports according to fod(o) Assignment strategy: arranged and random Assignment strategy: arranged and random

-10- Benchmark Generation: Construct Chains 1.Construct N chains each with depth k (N*k cells) 2.Assign gate instance according to fid(i) 3.Assign # fanouts to output ports according to fod(o) Assignment strategy: arranged and random Assignment strategy: arranged and random Arranged assignment Random assignment

-11- Benchmark Generation: Find Optimal Solution with DP 1.Attach connection cells to all open fanouts -to connect chains keeping optimal solution 2.Perform dynamic programming with timing budget T -optimal solution is achievable w/ slew-independent lib.

-12- Benchmark Generation: Solving a Chain Optimally (Example) INV1 INV2 INV3 D max = Stage 1 Stage 2 Stage 3 Stage 1 Stage 2 Budget Power Size Budget Power Size Budget Power Size Load = 3 Load = 6 Load = 3 Load = 6 size input cap leakage power delay load 3load 6 Size Size OPTIMIZED CHAIN size 2size 1

-13- Benchmark Generation: Connect Chains 1.Run STA and find arrival time for each gate 2.Connect each connection cell to open fanin port - connect only if timing constraints are satisfied - connection cells do not change the optimal chain solution 3.Tie unconnected ports to logic high or low VDD

-14- Benchmark Generation: Generated Netlist Generated output: Generated output: –benchmark circuit of N*K + C cells w/ optimal solution Schematic of generated netlist (N = 10, K = 20) Schematic of generated netlist (N = 10, K = 20) Chains are connected to each other  various topologies Chains are connected to each other  various topologies

-15- Outline Background and Motivation Background and Motivation Benchmark Generation Benchmark Generation Experimental Framework and Results Experimental Framework and Results Conclusions and Ongoing Work Conclusions and Ongoing Work

-16- Experimental Setup Delay and Power model (library) Delay and Power model (library) –LP: linear increase in power – gate sizing context –EP: exponential increase in power – Vt or gate-length Heuristics compared Heuristics compared –Two commercial tools (BlazeMO, Cadence Encounter) –UCLA sizing tool –UCSD sensitivity-based leakage optimizer Realistic benchmarks: six open-source designs Realistic benchmarks: six open-source designs Suboptimality calculation Suboptimality calculation Suboptimality = power heuristic - power opt power opt

-17- Generated Benchmark - Complexity Complexity (suboptimality) of generated benchmark Complexity (suboptimality) of generated benchmark Chain-only vs. connected-chain topologies Suboptimality Commercial tool Greedy Chain-only: avg. 2.1% Connected-chain: avg. 12.8% [library]-[N]-[k]

-18- Generated Benchmark - Connectivity Problem complexity and circuit connectivity Problem complexity and circuit connectivity 1.Arranged assignment: improve connectivity (larger fanin – later stage, larger fanout – earlier stage) 2.Random assignment: improve diversity of topology arrangedrandomunconnectedSubopt. 100% 0%0.00%2.60% 75% 25%0.00%6.80% 50% 0.25%10.30% 25% 75%0.75%11.20% 0% 100%17.00%7.70%

-19- Suboptimality w.r.t. Parameters For different number of chains For different number of chains For different number of stages For different number of stages Total # paths increase significantly w.r.t. N and K Total # paths increase significantly w.r.t. N and K

-20- Suboptimality w.r.t. Parameters (2) For different average net degrees For different average net degrees For different delay constraints For different delay constraints

-21- Generated Realistic Benchmarks Target benchmarks Target benchmarks –SASC, SPI, AES, JPEG, MPEG (from OpenCores) –EXU (from OpenSPARC T1) Characteristic parameters of real and generated benchmarks Characteristic parameters of real and generated benchmarks data depth #instance real designsgenerated Rent param. net degree Rent param. net degree SASC SPI EXU AES JPEG MPEG

-22- Suboptimality of Heuristics Suboptimality w.r.t. known optimal solutions for generated realistic benchmarks Suboptimality w.r.t. known optimal solutions for generated realistic benchmarks Vt swap context – up to 52.2% avg. 16.3% Gate sizing context – up to 43.7% avg. 25.5% Suboptimality * Greedy results for MPEG are missing With EP library With LP library

-23- Comparison w/ Real Designs Suboptimality versus one specific heuristic (SensOpt) Suboptimality versus one specific heuristic (SensOpt) Real designs and real delay/leakage library (TSMC 65nm) case Actual suboptimaltiy will be greater ! Suboptimality from our benchmarks Discrepancy: simplified delay model, reduced library set,... Discrepancy: simplified delay model, reduced library set,...

-24- Conclusions A new benchmark generation technique for gate sizing  construct realistic circuits with known optimal solutions A new benchmark generation technique for gate sizing  construct realistic circuits with known optimal solutions Our benchmarks enable systematic and quantitative study of common sizing heuristics Our benchmarks enable systematic and quantitative study of common sizing heuristics Common sizing methods are suboptimal for realistic benchmarks by up to 52.2% (Vt assignment) and 43.7% (sizing) Common sizing methods are suboptimal for realistic benchmarks by up to 52.2% (Vt assignment) and 43.7% (sizing)

-25- Ongoing Work Analyze discrepancies between real and artificial benchmarks Analyze discrepancies between real and artificial benchmarks Handle more realistic delay model Handle more realistic delay model –Use realistic delay library in the context of realistic benchmarks with tight upper bounds Alternate approach for netlist generation Alternate approach for netlist generation –(1) cutting nets in a real design and find optimal solution  (2) reconnecting the nets keeping the optimal solution

-26- Thank you