© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Section B A Step-By-Step Description of the Synplicity Flow Andy Miller © Copyright 2000 Xilinx - All Rights Reserved.
© 2003 Xilinx, Inc. All Rights Reserved Architecture Wizard and PACE FPGA Design Flow Workshop Xilinx: new module Xilinx: new module.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
XILINX ISE 9.1/9.2. To Get Familiar with the Environment How to start an FPGA project How to target your design to particular type of FPGA How to describe.
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
Foundation and XACTstepTM Software
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
v8.2 System Generator Audio Quick Start
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
Introduction to Basys 2. Switches Slide switchesPush button switches.
© 2011 Xilinx, Inc. All Rights Reserved Intro to System Generator This material exempt per Department of Commerce license exception TSU.
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Delevopment Tools Beyond HDL
StateCAD FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe how.
FPGA and ASIC Technology Comparison - 1 © 2009 Xilinx, Inc. All Rights Reserved How do I Get Started with PlanAhead?
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
© 2003 Xilinx, Inc. All Rights Reserved Reading Reports Xilinx: This module was completely redone. Please translate entire module Some pages are the same.
© 2003 Xilinx, Inc. All Rights Reserved CORE Generator System.
Introduction to Design Tools COE Review: Tools, functions, design flow Four tools we will use in this course – HDL Designer Suite FPGA Advantage.
Ch.9 CPLD/FPGA Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Foundation Express The HDL Value Leader. Xilinx Foundation Express The HDL Value Leader  Complete HDL Development Environment Best in Class EDA Tools.
Xilinx and Nexys2 Tutorial Kartik Mohanram Dept. of Electrical and Computer Engineering Rice University, Houston, TX.
Xilinx Development Software Design Flow on Foundation M1.5
Spring Introduction  Today’s tutorial focuses on introducing you to Xilinx ISE and Modelsim.  These tools are used for Verilog Coding Simulation.
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
HDL Bencher FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe the.
1 Introduction to Xilinx ISL8.1i & 11.1 Schematic Capture 1.
© 2003 Xilinx, Inc. All Rights Reserved FPGA Editor: Viewing and Editing a Routed Design.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
OVERVIEW OF OVERVIEW OF Spartan-3. DESIGNFLOW Translate Map Place & Route Plan & Budget HDL RTL Simulation Synthesize to create netlist Functional Simulation.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
© 2003 Xilinx, Inc. All Rights Reserved Global Timing Constraints FPGA Design Flow Workshop.
Programmable Logic Training Course HDL Editor
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Introduction to FPGA Tools
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
Speaker: Tsung-Yi Wu FPGA Design Flow (Part 2) : Simulation.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Implementation Options.
© 2004 Xilinx, Inc. All Rights Reserved Adding a Processor System to an FPGA Design.
11 EENG 1920 Introduction to VHDL. 22 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Teaching Digital Logic courses with Altera Technology
Tools - Analyzing your results - Chapter 7 slide 1 Version 1.5 FPGA Tools Course Analyzing your Results.
What’s New in Xilinx Ready-to-use solutions. Key New Features of the Foundation Series 1.5/1.5i Release  New device support  Integrated design environment.
Ready to Use Programmable Logic Design Solutions.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU CORE Generator System.
Design with Vivado IP Integrator
How to use ISE Dept. of Info & Comm. Eng. Prof. Jongbok Lee.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
Introduction to the FPGA and Labs
Dept. of Electrical and Computer Engineering
M1.5 Foundation Tools Xilinx XC9500/XL CPLD
A tutorial guide to start with ISE
ENG3050 Embedded Reconfigurable Computing Systems
Getting Started with Programmable Logic
Week 5, Verilog & Full Adder
Getting Started with Vivado
Founded in Silicon Valley in 1984
THE ECE 554 XILINX DESIGN PROCESS
The Xilinx Alliance 3.3i software
The Xilinx Alliance 3.3i software
Digital Designs – What does it take
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Objectives After completing this module, you will be able to: List the steps of the Xilinx design process Implement an FPGA design by using default software options

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Outline Overview ISE Summary

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Translate Map Place & Route Xilinx Design Flow Plan & Budget HDL RTL Simulation Synthesize to create netlist Functional Simulation Create Bit File Attain Timing Closure Timing Simulation Implement Create Code/ Schematic

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Design Entry Plan and budget Two design-entry methods: HDL or schematic – Architecture Wizard, CORE Generator™ system, and StateCAD are available to assist in design entry Whichever method you use, you will need a tool to generate an EDIF or NGC netlist to bring into the Xilinx implementation tools – Popular synthesis tools: Synplify, Precision, FPGA Compiler II, and XST Simulate the design to ensure that it works as expected! Plan & BudgetCreate Code/ Schematic HDL RTL Simulation Synthesize to create netlist Functional Simulation...

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Implementation Once you generate a netlist, you can implement the design There are several outputs of implementation – Reports – Timing simulation netlists – Floorplan files – FPGA Editor files – and more! Translate Map Place & Route Implement

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only What is Implementation? More than just “Place & Route” Implementation includes many phases – Translate: Merge multiple design files into a single netlist – Map: Group logical symbols from the netlist (gates) into physical components (slices and IOBs) – Place & Route: Place components onto the chip, connect them, and extract timing data into reports Each phase generates files that allow you to use other Xilinx tools – Floorplanner, FPGA Editor, XPower

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Timing Closure The Timing Closure Flow is a recommended method for helping designs meet their timing objectives Details on each part of the flow are discussed in this course and in the Designing for Performance course

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Download Once a design is implemented, you must create a file that the FPGA can understand – This file is called a bitstream: a BIT file (.bit extension) The BIT file can be downloaded directly to the FPGA, or it can be converted into a PROM file, which stores the programming information

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Outline Overview ISE Summary

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only What is ISE? Graphical interface to design entry and implementation tools – Access to synthesis and schematic tools Including third-party synthesis tools – Implement your design with a simple double-click Fine-tune with easy-to-access software options

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only WebUpdate Automatically checks for Service Packs on the web Alerts you when an update is available Supports PC platform only

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Creating a Project Select File  New Project New Project Wizard guides you through the process – Project name and location – Target device – Software flow – Create or add source files

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Creating and Adding Source Files To include an existing source file, double-click Add Existing Source To create a new source file, double-click Create New Source and choose the type of file – HDL file – IP – Schematic – State diagram – Testbench – Constraints file

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Implementing a Design To implement a design: – In the Sources in Project window, select the top-level source file HDL, schematic, or EDIF, depending on your design flow – In the Processes for Source window, double-click Implement Design

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Implementation Status ISE will run all of the necessary steps to implement the design – Synthesize HDL code – Translate – Map – Place & Route Progress and status are indicated by icons – Green check mark ( ) indicates that the process was completed successfully – Yellow exclamation point ( ! ) indicates warnings – Yellow question mark ( ? ) indicates a file that is out of date – Red “ X ” indicates errors

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Simulating a Design To simulate a design: – In the Sources in Project window, select a testbench file – In the Processes for Source window, expand ModelSim Simulator – Double-click Simulate Behavioral Model or Simulate Post-Place & Route Model Can also simulate after Translate or after Map

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Sub-Processes Each process can be expanded to view sub-tools and sub-processes – Translate Floorplan Assign Package Pins – Map Analyze timing – Place & Route Analyze timing Floorplan FPGA Editor Analyze power Create simulation model

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Hierarchical Simulation Netlists Create separate simulation netlists and SDF files for each level of design hierarchy – Simplifies timing verification – Allows you to re-use testbenches from behavioral simulation Hierarchy must be maintained during synthesis Use the KEEP_HIERARCHY attribute in UCF file For more information, see Answer #17693

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Program the FPGA There are two ways to program an FPGA – Through a PROM device You will need to generate a file that the PROM programmer will understand – Directly from the computer Use the iMPACT configuration tool

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Outline Overview ISE Summary

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Review Questions What are the phases of the Xilinx design flow? What are the components of implementation, and what happens at each step? What are two methods used to program an FPGA?

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Answers What are the phases of the Xilinx design flow? – Planning and budgeting, create code or schematic, RTL simulation, synthesize, functional simulation, implement, timing closure, timing simulation, BIT file creation What are the components of implementation, and what happens at each step? – Translate: merges multiple design files into one netlist – Map: groups logical symbols into physical components – Place & Route: places components onto the chip and connects them together What are two methods used to program an FPGA? – PROM – Xilinx iMPACT configuration tool

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Summary Implementation means more than place & route Xilinx provides a simple “pushbutton” tool to help you through the Xilinx design process

Xilinx Design Flow © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Where Can I Learn More? Complete design flow tutorials –  Documentation  Tutorials On the phases of implementation –  Software Manuals  Development System Reference Guide On hierarchical simulation netlists – Answer #17693 Configuration Problem Solver –  Problem Solvers  Configuration Problem Solver