© Digital Integrated Circuits 2nd Interconnect Impact of Interconnect Parasitics Reduce Robustness Affect Performance Increase delay Increase power dissipation.

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

1 Power Management for High- speed Digital Systems Tao Zhao Electrical and Computing Engineering University of Idaho.
   >>> 
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 19: Timing Issues; Introduction to Datapath.
Clock Design Adopted from David Harris of Harvey Mudd College.
Lecture 21: Packaging, Power, & Clock
Chapter 11 Timing Issues in Digital Systems Boonchuay Supmonchai Integrated Design Application Research (IDAR) Laboratory August 20, 2004; Revised - July.
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
EE466: VLSI Design Lecture 11: Wires
EE 447 VLSI Design Lecture 5: Wires. EE 447VLSI Design 6: Wires2 Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering.
Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O
Digital Integrated Circuits A Design Perspective
04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 15: Interconnects & Wire Engineering Prof. Sherief Reda Division of Engineering,
The Wire Scaling has seen wire delays become a major concern whereas in previous technology nodes they were not even a secondary design issue. Wire parasitic.
EELE 461/561 – Digital System Design Module #6 Page 1 EELE 461/561 – Digital System Design Module #6 – Differential Signaling Topics 1.Differential and.
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Interconnessioni e parassiti1 Progettazione di circuiti e sistemi VLSI Anno Accademico Lezione Interconnessioni e parassiti.
Introduction to CMOS VLSI Design Interconnect: wire.
Lecture 24: Interconnect parasitics
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 9.1 EE4800 CMOS Digital IC Design & Analysis Lecture 9 Interconnect Zhuo Feng.
Lecture 7: Power.
Putting it all together— Chip Level Issues
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
IC packaging and Input - output signals
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 39: December 6, 2013 Repeaters in Wiring.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
1. Department of Electronics Engineering Sahand University of Technology NMOS inverter with an n-channel enhancement-mode mosfet with the gate connected.
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
ECE 124a/256c Advanced VLSI Design Forrest Brewer.
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
EE415 VLSI Design 1 The Wire [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Introduction to CMOS VLSI Design Lecture 25: Package, Power, Clock, and I/O David Harris Harvey Mudd College Spring 2007.
ELEN654 ATMATM l Signal Integrity Issues » Capacitive Coupling, Resistance, Inductance » Cross talk l Routability design, Coding, and other Design Measures.
© Digital Integrated Circuits 2nd Interconnect Digital Integrated Circuits A Design Perspective Coping with Interconnect Jan M. Rabaey Anantha Chandrakasan.
© Digital Integrated Circuits 2nd Interconnect ECE 558/658 : Lecture 20 Interconnect Design (Chapter 9) Clock distribution (Chapter ) Atul Maheshwari.
Dec 2010VLSI Interconnects 1 Instructed by Shmuel Wimer Eng. School, Bar-Ilan University Credits: David Harris Harvey Mudd College (Some material copied/taken/adapted.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 33: November 20, 2013 Crosstalk.
Inverter Chapter 5 The Inverter April 10, Inverter Objective of This Chapter  Use Inverter to know basic CMOS Circuits Operations  Watch for performance.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Lecture 14: Wires. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 14: Wires2 Outline  Introduction  Interconnect Modeling –Wire Resistance –Wire Capacitance.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
VLSI CIRCUIT ELEMENTS - Prof. Rakesh K. Jha
11-1 Integrated Microsystems Lab. EE372 VLSI SYSTEM DESIGNE. Yoon Latch-up & Power Consumption Latch-up Problem Latch-up condition  1   2 >1 GND Vdd.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
Interconnect/Via.
Chapter 4: Secs ; Chapter 5: pp
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Tao Tang, Craig Burkhart
CSE477 L27 System Interconnect.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 27: System Level Interconnect Mary Jane Irwin (
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 30: November 21, 2012 Crosstalk.
MICROPROCESSOR DESIGN1 IR/Inductive Drop Introduction One component of every chip is the network of wires used to distribute power from the input power.
High Speed Properties of Digital Gates, Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology
Power Distribution Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology.
Piero Belforte, HDT, July 2000: MERITA Methodology to Evaluate Radiation in Information Technology Application, methodologies and software solutions by Carla Giachino,
IC packaging and Input - output signals
Assignment - 3 Design a ALU with functions mentioned below
Mary Jane Irwin ( ) CSE477 VLSI Digital Circuits Fall 2002 Lecture 27: System Level Interconnect Mary Jane.
Day 33: November 19, 2014 Crosstalk
Digital Integrated Circuits A Design Perspective
COPING WITH INTERCONNECT
THE INTERCONNECT.
Presentation transcript:

© Digital Integrated Circuits 2nd Interconnect Impact of Interconnect Parasitics Reduce Robustness Affect Performance Increase delay Increase power dissipation Classes of Parasitics Capacitive Resistive Inductive

© Digital Integrated Circuits 2nd Interconnect INTERCONNECT

© Digital Integrated Circuits 2nd Interconnect Capacitive Cross Talk Dynamic Node 3 x 1  m overlap: 0.19 V disturbance C Y C XY V DD PDN CLK In Y X 2.5 V 0 V

© Digital Integrated Circuits 2nd Interconnect Capacitive Cross Talk Driven Node  XY = R Y (C XY +C Y ) Keep time-constant smaller than rise time V (Volt) t (nsec) X Y V X R Y C XY C Y tr↑tr↑

© Digital Integrated Circuits 2nd Interconnect Dealing with Capacitive Cross Talk  Avoid floating nodes  Protect sensitive nodes  Make rise and fall times as large as possible  Differential signaling  Do not run wires together for a long distance  Use shielding wires  Use shielding layers

© Digital Integrated Circuits 2nd Interconnect Shielding GND Shielding wire Substrate (GND) Shielding layer V DD

© Digital Integrated Circuits 2nd Interconnect Cross Talk and Performance CcCc - When neighboring lines switch in opposite direction of victim line, delay increases DELAY DEPENDENT UPON ACTIVITY IN NEIGHBORING WIRES Miller Effect - Both terminals of capacitor are switched in opposite directions (0  V dd, V dd  0) - Effective voltage is doubled and additional charge is needed (from Q=CV)

© Digital Integrated Circuits 2nd Interconnect Impact of Cross Talk on Delay r is ratio between capacitance to GND and to neighbor

© Digital Integrated Circuits 2nd Interconnect Structured Predictable Interconnect Example: Dense Wire Fabric ([Sunil Kathri]) Trade-off: Cross-coupling capacitance 40x lower, 2% delay variation Increase in area and overall capacitance Also: FPGAs, VPGAs

© Digital Integrated Circuits 2nd Interconnect Interconnect Projections Low-k dielectrics  Both delay and power are reduced by dropping interconnect capacitance  Types of low-k materials include: inorganic (SiO 2 ), organic (Polyimides) and aerogels (ultra low-k)  The numbers below are on the conservative side of the NRTS roadmap 

© Digital Integrated Circuits 2nd Interconnect Encoding Data Avoids Worst-Case Conditions Encoder Decoder Bus In Out

© Digital Integrated Circuits 2nd Interconnect Driving Large Capacitances V in V out C L V DD Transistor Sizing Cascaded Buffers

© Digital Integrated Circuits 2nd Interconnect Using Cascaded Buffers C L = 20 pF InOut 12N 0.25  m process Cin = 2.5 fF tp 0 = 30 ps F = CL/Cin = 8000 fopt = 3.6 N = 7 tp = 0.76 ns (See Chapter 5)

© Digital Integrated Circuits 2nd Interconnect Output Driver Design Trade off Performance for Area and Energy Given t pmax find N and f  Area  Energy

© Digital Integrated Circuits 2nd Interconnect Delay as a Function of F and N Number of buffer stages N , t p / t p 0 F = F = 1000 F = 10,000 t p /t p0

© Digital Integrated Circuits 2nd Interconnect Output Driver Design Transistor Sizes for optimally-sized cascaded buffer t p = 0.76 ns Transistor Sizes of redesigned cascaded buffer t p = 1.8 ns 0.25  m process, C L = 20 pF

© Digital Integrated Circuits 2nd Interconnect How to Design Large Transistors G(ate) S(ource) D(rain) Multiple Contacts small transistors in parallel Reduces diffusion capacitance Reduces gate resistance

© Digital Integrated Circuits 2nd Interconnect Bonding Pad Design Bonding Pad Out In V DD GND 100  m GND Out

© Digital Integrated Circuits 2nd Interconnect ESD Protection  When a chip is connected to a board, there is unknown (potentially large) static voltage difference  Equalizing potentials requires (large) charge flow through the pads  Diodes sink this charge into the substrate – need guard rings to pick it up.

© Digital Integrated Circuits 2nd Interconnect ESD Protection Diode

© Digital Integrated Circuits 2nd Interconnect Pad Frame LayoutDie Photo

© Digital Integrated Circuits 2nd Interconnect Chip Packaging  An alternative is ‘flip-chip’:  Pads are distributed around the chip  The soldering balls are placed on pads  The chip is ‘flipped’ onto the package  Can have many more pads

© Digital Integrated Circuits 2nd Interconnect Tristate Buffers In En V DD Out Out = In.En + Z.En V DD In En Out Increased output drive

© Digital Integrated Circuits 2nd Interconnect Reducing the swing  Reducing the swing potentially yields linear reduction in delay  Also results in reduction in power dissipation  Delay penalty is paid by the receiver  Requires use of “sense amplifier” to restore signal level  Frequently designed differentially (e.g. LVDS)

© Digital Integrated Circuits 2nd Interconnect Single-Ended Static Driver and Receiver

© Digital Integrated Circuits 2nd Interconnect INTERCONNECT

© Digital Integrated Circuits 2nd Interconnect Impact of Resistance  We have already learned how to drive RC interconnect  Impact of resistance is commonly seen in power supply distribution:  IR drop  Voltage variations  Power supply is distributed to minimize the IR drop and the change in current due to switching of gates

© Digital Integrated Circuits 2nd Interconnect

© Digital Integrated Circuits 2nd Interconnect Resistance and the Power Distribution Problem Source: Cadence Requires fast and accurate peak current prediction Requires fast and accurate peak current prediction Heavily influenced by packaging technology Heavily influenced by packaging technology Before After

© Digital Integrated Circuits 2nd Interconnect Power Distribution  Low-level distribution is in Metal 1  Power has to be ‘strapped’ in higher layers of metal.  The spacing is set by IR drop, electromigration, inductive effects  Always use multiple contacts on straps

© Digital Integrated Circuits 2nd Interconnect Power and Ground Distribution

© Digital Integrated Circuits 2nd Interconnect 3 Metal Layer Approach (EV4) 3rd “coarse and thick” metal layer added to the technology for EV4 design Power supplied from two sides of the die via 3rd metal layer 2nd metal layer used to form power grid 90% of 3rd metal layer used for power/clock routing Metal 3 Metal 2 Metal 1 Courtesy Compaq

© Digital Integrated Circuits 2nd Interconnect 4 Metal Layers Approach (EV5) 4th “coarse and thick” metal layer added to the technology for EV5 design Power supplied from four sides of the die Grid strapping done all in coarse metal 90% of 3rd and 4th metals used for power/clock routing Metal 3 Metal 2 Metal 1 Metal 4 Courtesy Compaq

© Digital Integrated Circuits 2nd Interconnect 2 reference plane metal layers added to the technology for EV6 design Solid planes dedicated to Vdd/Vss Significantly lowers resistance of grid Lowers on-chip inductance 6 Metal Layer Approach – EV6 Metal 4 Metal 2 Metal 1 RP2/Vdd RP1/Vss Metal 3 Courtesy Compaq

© Digital Integrated Circuits 2nd Interconnect Electromigration (1)

© Digital Integrated Circuits 2nd Interconnect Electromigration (2)

© Digital Integrated Circuits 2nd Interconnect Resistivity and Performance Diffused signal propagation Delay ~ L 2 C N-1 CNCN C2C2 R1R1 R2R2 C1C1 TrTr V in R N-1 R N The distributed rc-line

© Digital Integrated Circuits 2nd Interconnect The Global Wire Problem Challenges  No further improvements to be expected after the introduction of Copper (superconducting, optical?)  Design solutions  Use of fat wires  Insert repeaters — but might become prohibitive (power, area)  Efficient chip floorplanning  Towards “communication-based” design  How to deal with latency?  Is synchronicity an absolute necessity?  outwwd dwwd CRCRCRCRT 

© Digital Integrated Circuits 2nd Interconnect Interconnect Projections: Copper  Copper is planned in full sub-0.25  m process flows and large-scale designs (IBM, Motorola, IEDM97)  With cladding and other effects, Cu ~ 2.2  -cm vs. 3.5 for Al(Cu)  40% reduction in resistance  Electromigration improvement; 100X longer lifetime (IBM, IEDM97)  Electromigration is a limiting factor beyond 0.18  m if Al is used (HP, IEDM95) Vias

© Digital Integrated Circuits 2nd Interconnect Interconnect: # of Wiring Layers # of metal layers is steadily increasing due to: Increasing die size and device count: we need more wires and longer wires to connect everything Rising need for a hierarchical wiring network; local wires with high density and global wires with low RC 0.25  m wiring stack

© Digital Integrated Circuits 2nd Interconnect Diagonal Wiring y x destination Manhattan source diagonal 20+% Interconnect length reduction Clock speed Signal integrity Power integrity 15+% Smaller chips plus 30+% via reduction Courtesy Cadence X-initiative

© Digital Integrated Circuits 2nd Interconnect Using Bypasses Driver Polysilicon word line Metal word line Metal bypass Driving a word line from both sides Using a metal bypass WL Kcells

© Digital Integrated Circuits 2nd Interconnect Reducing RC-delay Repeater (chapter 5)

© Digital Integrated Circuits 2nd Interconnect Repeater Insertion (Revisited) Taking the repeater loading into account For a given technology and a given interconnect layer, there exists an optimal length of the wire segments between repeaters. The delay of these wire segments is independent of the routing layer!

© Digital Integrated Circuits 2nd Interconnect INTERCONNECT

© Digital Integrated Circuits 2nd Interconnect L di/dt Impact of inductance on supply voltages: Change in current induces a change in voltage Longer supply lines have larger L C L V’ DD V L i(t) V out V in GND’ L

© Digital Integrated Circuits 2nd Interconnect L di/dt: Simulation Input rise/fall time: 50 psecInput rise/fall time: 800 psec decoupled Without inductors With inductors

© Digital Integrated Circuits 2nd Interconnect Dealing with Ldi/dt  Separate power pins for I/O pads and chip core.  Multiple power and ground pins.  Careful selection of the positions of the power and ground pins on the package.  Increase the rise and fall times of the off-chip signals to the maximum extent allowable.  Schedule current-consuming transitions.  Use advanced packaging technologies.  Add decoupling capacitances on the board.  Add decoupling capacitances on the chip.

© Digital Integrated Circuits 2nd Interconnect De-coupling Capacitor Ratios  EV4  total effective switching capacitance = 12.5nF  128nF of de-coupling capacitance  de-coupling/switching capacitance ~ 10x  EV5  13.9nF of switching capacitance  160nF of de-coupling capacitance  EV6  34nF of effective switching capacitance  320nF of de-coupling capacitance -- not enough! Source: B. Herrick (Compaq)

© Digital Integrated Circuits 2nd Interconnect EV6 De-coupling Capacitance Design for  Idd= 25 Vdd = 2.2 V, f = 600 MHz  0.32-µF of on-chip de-coupling capacitance was added –Under major busses and around major gridded clock drivers –Occupies 15-20% of die area  1-µF 2-cm 2 Wirebond Attached Chip Capacitor (WACC) significantly increases “Near-Chip” de- coupling –160 Vdd/Vss bondwire pairs on the WACC minimize inductance Source: B. Herrick (Compaq)

© Digital Integrated Circuits 2nd Interconnect EV6 WACC Source: B. Herrick (Compaq)

© Digital Integrated Circuits 2nd Interconnect The Transmission Line The Wave Equation

© Digital Integrated Circuits 2nd Interconnect Design Rules of Thumb  Transmission line effects should be considered when the rise or fall time of the input signal (t r, t f ) is smaller than the time-of-flight of the transmission line (t flight ). t r (t f ) << 2.5 t flight  Transmission line effects should only be considered when the total resistance of the wire is limited: R < 5 Z 0  The transmission line is considered lossless when the total resistance is substantially smaller than the characteristic impedance, R < Z 0 /2

© Digital Integrated Circuits 2nd Interconnect Should we be worried?  Transmission line effects cause overshooting and non- monotonic behavior Clock signals in 400 MHz IBM Microprocessor (measured using e-beam prober) [Restle98]

© Digital Integrated Circuits 2nd Interconnect Matched Termination Z 0 Z L Z 0 Series Source Termination Z 0 Z 0 Z S Parallel Destination Termination

© Digital Integrated Circuits 2nd Interconnect Output Driver with Varying Terminations V out (V) V out (V) 1234 time (sec) Revised design with matched driver impedance V s V d V in Initial design V s V d V in

© Digital Integrated Circuits 2nd Interconnect The “Network-on-a-Chip” Embedded Processors Memory Sub-system Memory Sub-system Accelators Configurable Accelerators Peripherals Interconnect Backplane