POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University.

Slides:



Advertisements
Similar presentations
Switching circuits Composed of switching elements called “gates” that implement logical blocks or switching expressions Positive logic convention (active.
Advertisements

Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Gregory Shklover, Ben Emanuel Intel Corporation MATAM, Haifa 31015, Israel Simultaneous Clock and Data Gate Sizing Algorithm with Common Global Objective.
CS370 – Spring 2003 Hazards/Glitches. Time Response in Combinational Networks Gate Delays and Timing Waveforms Hazards/Glitches and How To Avoid Them.
A Graph-Partitioning-Based Approach for Multi-Layer Constrained Via Minimization Yih-Chih Chou and Youn-Long Lin Department of Computer Science, Tsing.
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR Topics n Logic synthesis. n Placement and routing.
June 6, Using Negative Edge Triggered FFs to Reduce Glitching Power in FPGA Circuits Tomasz S. Czajkowski and Stephen D. Brown Department of Electrical.
Timing Optimization. Optimization of Timing Three phases 1globally restructure to reduce the maximum level or longest path Ex: a ripple carry adder ==>
ECE 667 Synthesis & Verificatioin - FPGA Mapping 1 ECE 667 Synthesis and Verification of Digital Systems Technology Mapping for FPGAs D.Chen, J.Cong, DAOMap.
FPGA Technology Mapping Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Multiobjective VLSI Cell Placement Using Distributed Simulated Evolution Algorithm Sadiq M. Sait, Mustafa I. Ali, Ali Zaidi.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
1 DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jacon Cong ICCAD 2004 Presented by: Wei Chen.
Modern VLSI Design 2e: Chapter4 Copyright  1998 Prentice Hall PTR.
Power-Aware Placement
Technology Mapping.
Dec. 6, 2005ELEC Glitch Power1 Low power design: Insert delays to eliminate glitches Yijing Chen Dec.6, 2005 Auburn university.
4/20/2006ELEC7250: Alexander 1 LOGIC SIMULATION AND FAULT DIAGNOSIS BY JINS DAVIS ALEXANDER ELEC 7250 PRESENTATION.
Iterative Algorithms for Low Power VLSI Placement Sadiq M. Sait, Ph.D Department of Computer Engineering King Fahd University of Petroleum.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
A Probabilistic Method to Determine the Minimum Leakage Vector for Combinational Designs Kanupriya Gulati Nikhil Jayakumar Sunil P. Khatri Department of.
Modern VLSI Design 2e: Chapter 4 Copyright  1998 Prentice Hall PTR Topics n Crosstalk. n Power optimization.
1 Enhancing Performance of Iterative Heuristics for VLSI Netlist Partitioning Dr. Sadiq M. Sait Dr. Aiman El-Maleh Mr. Raslan Al Abaji. Computer Engineering.
FPGA Technology Mapping. 2 Technology mapping:  Implements the optimized nodes of the Boolean network to the target device library.  For FPGA, library.
Digital Integrated Circuits© Prentice Hall 1995 Combinational Logic COMBINATIONAL LOGIC.
Techniques and Algorithms for Fault Grading of FPGA Interconnect Test Configurations Mehdi Baradaran Tahoori and Subhasish Mitra IEEE Transactions on Computer-Aided.
Dynamic Power Consumption In Large FPGAs WILLIAM GARCIA, ANDREW MORTELLARO.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
Power Reduction for FPGA using Multiple Vdd/Vth
LOPASS: A Low Power Architectural Synthesis for FPGAs with Interconnect Estimation and Optimization Harikrishnan K.C. University of Massachusetts Amherst.
Abdullah Aldahami ( ) Feb26, Introduction 2. Feedback Switch Logic 3. Arithmetic Logic Unit Architecture a.Ripple-Carry Adder b.Kogge-Stone.
Logic Synthesis For Low Power CMOS Digital Design.
A Class Presentation for VLSI Course by : Fatemeh Refan Based on the work Leakage Power Analysis and Comparison of Deep Submicron Logic Gates Geoff Merrett.
Ashley Brinker Karen Joseph Mehdi Kabir ECE 6332 – VLSI Fall 2010.
Chapter 07 Electronic Analysis of CMOS Logic Gates
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
05/04/06 1 Integrating Logic Synthesis, Tech mapping and Retiming Presented by Atchuthan Perinkulam Based on the above paper by A. Mishchenko et al, UCAL.
1 EECS 219B Spring 2001 Timing Optimization Andreas Kuehlmann.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Combinational network delay. n Logic optimization.
Notices You have 18 more days to complete your final project!
A Routing Approach to Reduce Glitches in Low Power FPGAs Quang Dinh, Deming Chen, Martin D. F. Wong Department of Electrical and Computer Engineering University.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
4. Combinational Logic Networks Layout Design Methods 4. 2
Han Liu Supervisor: Seok-Bum Ko Electrical & Computer Engineering Department 2010-Feb-2.
Combinational and Sequential Mapping with Priority Cuts Alan Mishchenko Sungmin Cho Satrajit Chatterjee Robert Brayton UC Berkeley.
DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen and Jason Cong Computer Science Department University of California,
Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building Yanfeng Wang, Qiang Zhou, Xianlong Hong, and Yici Cai Department of Computer Science and.
Topics Combinational network delay.
Jianhua Liu1, Yi Zhu1, Haikun Zhu1, John Lillis2, Chung-Kuan Cheng1
Technology Mapping. 2 Technology mapping is the phase of logic synthesis when gates are selected from a technology library to implement the circuit. Technology.
March 28, Glitch Reduction for Altera Stratix II devices Tomasz S. Czajkowski PhD Candidate University of Toronto Supervisor: Professor Stephen D.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Combinational network delay. n Logic optimization.
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Low power design. n Pipelining.
DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jason Cong , Computer Science Department , UCLA Presented.
Fast Synthesis of Clock Gating from Existing Logic Aaron P. Hurst Univ. of California, Berkeley Portions In Collaboration with… Artur Quiring and Andreas.
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
Power Optimization Toolbox for Logic Synthesis and Mapping
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
COMBINATIONAL LOGIC.
ELEC 6970: Low Power Design Class Project By: Sachin Dhingra
Topics Logic synthesis. Placement and routing..
Sungho Kang Yonsei University
FPGA Glitch Power Analysis and Reduction
Timing Optimization.
Off-path Leakage Power Aware Routing for SRAM-based FPGAs
Presentation transcript:

POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University Politehnica of Bucharest, Romania Semiconductor Conference, CAS International

Outline Introduction Background Algorithm Experimental result Conclusion

Introduction Power consumption is becoming one of the most important considerations in VLSI design. Especially in FPGA design. In this paper is presented a new mapping approach for decreasing the spurious power consumption in K-LUT based FPGA implemented circuits.

Background FPGA POWER Static power : current leakage in transistors Dynamic power : signal transitions between logic-0 and logic-1 Functional transitions - necessary for the correct operation of the circuit Glitch - unbalanced delays to the inputs of a logic gate (effect on power consumption)

Dynamic power n : the number of nets in the circuit Si : the switching activity of net I Ci : the capacitance of net I f : the frequency of the circuit Vdd : the supply voltage

Net switching activity estimation - probability approaches - simulation-based approaches This paper uses simulation-based simulator of SIS-1.2 This gate-level simulations provide both the functional and total activity. Spurious transition activity is computed as the difference between them.

Technology mapping transforms the gate-level network into a network of cells in the target technology library.

Algorithm K-feasible-cones enumeration from PIs to POs Make the selection among the K-feasible cones of each node guided using critical path in circuits and several appropriate cost functions from POs to PIs

Depth Metric for node u is computed over one of the best depth K-feasible cone of u to quantify the depth criterion: In order to quantify the best suitable cone rooted in u is introduced the cost function bestCone. It is locally applied for the entire set of K-feasible cones of the node u:

Multi-criteria function multiCrit is implemented as follows

|LUT(v)|: the number of internal nodes of cone v previously (already) chosen as LUTs, |cone(v)|: the number of internal nodes of cone v. activity(w): the spurious logic activity estimation of the node w |fanout(w)|: the number of nodes connected to the output of node w q1 (w) = 1 iff |fanout(w)| < 3 q1 (w) = 0 iff |fanout(w)| >= 3 q2 is the parameter controlling the influence of the spurious activity estimation in multi-criteria cost

First part of multiCrit The numerator of the quotient penalizes node duplications by increasing the cost of cuts that encapsulate nodes that have already been labeled as root nodes. The denominator rewards cuts that encapsulate many nodes that have not been labeled as root nodes. Second part of multiCrit The q1 factor minimizes node duplication by favoring cuts that reuse nodes. The activity factor minimizes the switching activity of the connections. The fanout size factor rewards cuts that have high-fanout input nodes.

High-fanout nodes are difficult to encapsulate entirely -attempting to encapsulate them results in unnecessary node duplication. This is avoided by choosing high-fanout nets as root nodes. Using this cost function, nodes with large fanouts are likely to be chosen as root nodes. Both parameters, q1 and q2, were experimentally determined. Best results were obtained when q2 >> q1 reflecting the preference for optimizing power over depth.

Experimental result To estimate power consumption using, it is required the capacitance of each net. The fanout of each mapped node was considered as an estimate of the capacitance of it Optimum depth: keep optimum depth and search among power-aware equivalent solutions Optimal depth: optimal depth but performing with improved spurious power consumption. Optimal depth & area: optimum depth, with minimal area (number of used LUTs)

Conclusion Trade-off between dynamic power and area. Power-driven mapping both for depth and area optimal, it appears to be more complex than mapping only for optimal depth. Actual working heuristics have to be upgraded because it was searched only a limited part of mapping solutions’ space. It is intended in the future approach to use dynamic programming together with refined heuristics to further develop PwDrvMap algorithm.