1 95-1 Under-Graduate Project Mid-Term Paper Reading Presentation Adviser: Prof. An-Yeu Wu Mentor: 詹承洲 第二組 溫仁揚 溫昌懌.

Slides:



Advertisements
Similar presentations
DFT & FFT Computation.
Advertisements

Acceleration of Cooley-Tukey algorithm using Maxeler machine
David Hansen and James Michelussi
Modulo-N Counters Module M10.4 Section 7.2.
CMSC 611: Advanced Computer Architecture
Write a program step by step. Step 1: Problem definition. Given the coordinate of two points in 2-D space, compute and print their straight distance.
Verilog Intro: Part 1.
Cost-Effective Pipeline FFT/IFFT VLSI Architecture for DVB-H System Present by: Yuan-Chu Yu Chin-Teng Lin and Yuan-Chu Yu Department of Electrical and.
Hardware Description Language (HDL)
Digital Kommunikationselektronik TNE027 Lecture 5 1 Fourier Transforms Discrete Fourier Transform (DFT) Algorithms Fast Fourier Transform (FFT) Algorithms.
ECE 734: Project Presentation Pankhuri May 8, 2013 Pankhuri May 8, point FFT Algorithm for OFDM Applications using 8-point DFT processor (radix-8)
1 Final project Speaker: Team 5 電機三 黃柏森 趙敏安 Mentor : 陳圓覺 Adviser: Prof. An-Yeu Wu Date: 2007/1/22.
Fast Fourier Transform Lecture 6 Spoken Language Processing Prof. Andrew Rosenberg.
Lecture #17 INTRODUCTION TO THE FAST FOURIER TRANSFORM ALGORITHM Department of Electrical and Computer Engineering Carnegie Mellon University Pittsburgh,
Introduction to Fast Fourier Transform (FFT) Algorithms R.C. Maher ECEN4002/5002 DSP Laboratory Spring 2003.
Amir Torjeman Nitay Shiran
Introduction SYSC5603 (ELG6163) Digital Signal Processing Microprocessors, Software and Applications Miodrag Bolic.
Implementation of a noise subtraction algorithm using Verilog HDL University of Massachusetts, Amherst Department of Electrical & Computer Engineering,
Bellevue University CIS 205: Introduction to Programming Using C++ Lecture 9: Pass-by-Value.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE Senior Design I Lecture 4 - Verilog 2 (Sequential.
University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
JPEG Compression in Matlab
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
FFT USING OPEN-MP Done by: HUSSEIN SALIM QASIM & Tiba Zaki Abdulhameed
Prime numbers Jordi Cortadella Department of Computer Science.
Verilog HDL: A solution for Everybody By, Anil Kumar Ram Rakhyani
Fast Memory Addressing Scheme for Radix-4 FFT Implementation Presented by Cheng-Chien Wu, Master Student of CSIE,CCU 1 Author: Xin Xiao, Erdal Oruklu and.
1 An Update on Verilog Ξ – Computer Architecture Lab 28/06/2005 Kypros Constantinides.
Radix-2 2 Based Low Power Reconfigurable FFT Processor Presented by Cheng-Chien Wu, Master Student of CSIE,CCU 1 Author: Gin-Der Wu and Yi-Ming Liu Department.
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Undergraduate Projects Speaker: Wes Adviser: Prof. An-Yeu Wu Date: 2015/09/22 Lab.
Paper Reading - A New Approach to Pipeline FFT Processor Presenter:Chia-Hsin Chen, Yen-Chi Lee Mentor:Chenjo Instructor:Andy Wu.
Fast Fourier Transform & Assignment 2
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Under-Graduate Project Case Study: Single-path Delay Feedback FFT Speaker: Yu-Min.
Fall 08, Oct 29ELEC Lecture 7 (updated) 1 Lecture 7: VHDL - Introduction ELEC 2200: Digital Logic Circuits Nitin Yogi
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
OFDM DFT  DFT  Inverse DFT  An N-point DFT (or inverse DFT) requires a total of N 2 complex multiplications  This transform can be implemented very.
Reconfigurable FFT architecture
1 2-Hardware Design Basics of Embedded Processors.
Speaker: Darcy Tsai Advisor: Prof. An-Yeu Wu Date: 2013/10/31
Under-Graduate Project Adviser: Prof. An-Yeu Wu Mentor: 詹承洲 第二組 溫仁揚 溫昌懌.
Fast Fourier Transforms. 2 Discrete Fourier Transform The DFT pair was given as Baseline for computational complexity: –Each DFT coefficient requires.
Chapter 6: Hierarchical Structural Modeling Digital System Designs and Practices Using Verilog HDL and 2008~2010, John Wiley 6-1 Chapter 6: Hierarchical.
FFT VLSI Implementation
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
 presented by- ARPIT GARG ISHU MISHRA KAJAL SINGHAL B.TECH(ECE) 3RD YEAR.
EE345S Real-Time Digital Signal Processing Lab Fall 2006 Lecture 17 Fast Fourier Transform Prof. Brian L. Evans Dept. of Electrical and Computer Engineering.
NCTU, CS VLSI Information Processing Research Lab 研究生 : ABSTRACT Introduction NEW Recursive DFT/IDFT architecture Low computation cycle  1/2: Chebyshev.
Low Power Design for a 64 point FFT Processor
CORDIC Based 64-Point Radix-2 FFT Processor
1 Paper reading A New Approach to FFT Processor Speaker: 吳紋浩 第六組 洪聖揚 吳紋浩 Adviser: Prof. Andy Wu Mentor: 陳圓覺.
Optimizing Interconnection Complexity for Realizing Fixed Permutation in Data and Signal Processing Algorithms Ren Chen, Viktor K. Prasanna Ming Hsieh.
Final Project Report 64 points FFT
Fixed-pointed FFT model
Fast Fourier Transform
Main Points of Haskell Functional programming Laziness
A New Approach to Pipeline FFT Processor
ESE 437: Sensors and Instrumentation
Singular Value Decomposition
C Model Sim (Fixed-Point) -A New Approach to Pipeline FFT Processor
The Verilog Hardware Description Language
Fundamental Programming
Speaker: Yumin Adviser: Prof. An-Yeu Wu Date: 2013/10/24
95-1 Under-Graduate Project Fixed-point Analysis
FFT VLSI Implementation
95-1 Under-Graduate Project Paper Reading Presentation
Introduction SYSC5603 (ELG6163) Digital Signal Processing Microprocessors, Software and Applications Miodrag Bolic.
Introduction SYSC5603 (ELG6163) Digital Signal Processing Microprocessors, Software and Applications Miodrag Bolic.
Speaker: Chris Chen Advisor: Prof. An-Yeu Wu Date: 2014/10/28
Introduction to Digital IC Design
Fast Fourier Transform
Presentation transcript:

Under-Graduate Project Mid-Term Paper Reading Presentation Adviser: Prof. An-Yeu Wu Mentor: 詹承洲 第二組 溫仁揚 溫昌懌

2 A New Approach to Pipeline FFT Processor Shousheng He & Mats Torkelson Department of Applied Electronics, Lund University, S Lund, SWEDEN

3 Outline What is FFT How to solve FFT by hardware Approaches to Pipeline FFT A closer look to Radix- Simulation by C language Future Work Conclusion Reference

4 What is FFT Discrete Fourier Transform is defined as: with complexity Fast Fourier Transform (FFT) is an efficient algorithm which reduced the complexity into

5 What is FFT(cont ’ d) The Cooly-Tukey algorithm by symmetry, Hence, we re-express the size N transform into 2 size N/2 transforms

6 How to Solve FFT by Hardwares Divide & Conquer Butterfly Twiddle Factor

7 W(n) – twiddle factor Radix-22DIFFFT :

8 Approach to Pipeline FFT R2MDC R2SDF R4MDC R4SDF

9 Approach to Pipeline FFT (cont ’ d) R4SDC Radix- Still many other approaches, ex: Radix-, Mixed Radix, Chirp-Z … etc. We focus on this structure today

10 A Closer Look to Radix- x(0)+x(8) [x(0)+x(8)]+[x(4)+(12)] W(n)

11 A Closer Look to Radix- (cont ’ d) A 256-point model of Radix- The size of register is 2 n W2(n)=e {- j*(n15*(k11+2*k12) )} W3(n)= e {-j*4*n3*(k21+2*k22)}

12 First clks, push inputs into register file. A Closer Look to Radix- (cont ’ d) Then start to do the butterfly. From +1 clk to next clks, push inputs into register file Then start to do the butterfly

13 A Closer Look to Radix-2 2 counter

14 Simulation by C language (cont ’ d) Main function (A 64 points model) int main(void) { … … //declare variables, assign inputs for(clk=0;clk<=2*N;clk++) { BF2i ( 5,clk>>5 ); //first BF #5 REGIST(5); //first regs BF2ii( 4,clk>>5,(clk>>4)%2 ); //second BF #4 REGIST(4); //second regs Zr[0][4] = W2 * Zr[0][4] ; //twiddle factor } //end of for //print outputs and make comparison to theoretical value } //end of main Do it 3 times with different parameters

15 Simulation by C language (cont ’ d) void BF2i(char t,bool s) // 4 inputs which are Xr(n), Xi(n), Xr(n+N/2), Xi(n+N/2),clk signal in the fiqure { if (s){ Zr[0][t]= Zr[0][t+1]+regr[t][pow(2,t)-1]; Zi[0][t]= Zi[0][t+1]+regi[t][pow(2,t)-1]; Zr[1][t]= regr[t][pow(2,t)-1]-Zr[0][t+1]; Zi[1][t]= regi[t][pow(2,t)-1]-Zi[0][t+1]; }// the vatue of Zs when s==1 else{ Zr[0][t]=regr[t][pow(2,t)-1]; Zi[0][t]=regi[t][pow(2,t)-1]; Zr[1][t]=Zr[0][t+1]; Zi[1][t]=Zi[0][t+1]; }// the value of Zs when s==0 }// end of BF2i regr[t][2^t-1] regi[t][2^t-1] Zr[0][t+1] Zi[0][t+1] Zr[0][t] Zi[0][t] Zr[1][t] Zi[1][t] BF2i

16 Simulation by C language (cont ’ d) S=0 : S=1 :

17 Simulation by C language (cont ’ d) BF2ii void BF2ii(char t, bool s, bool g) { if(g){ if(s){ Zr[0][t]=regr[t][pow(2,t)-1]+Zr[0][t+1]; Zi[0][t]=regi[t][pow(2,t)-1]+Zi[0][t+1]; Zr[1][t]=regr[t][pow(2,t)-1]-Zr[0][t+1]; Zi[1][t]=regi[t][pow(2,t)-1]-Zi[0][t+1]; } else { … } else{ … } Zr[0][t+1] Zi[0][t+1] Zr[1][t] Zi[1][t] regr[t][2^t-1] regi[t][2^t-1] Zr[0][t] Zi[0][t]

18 Simulation by C language (cont ’ d) g=0: g=1, s=0,1:

19 Simulation by C language (cont ’ d) Register void REGIST(char num) { for (char l=pow(2,num-1); l>=1;l--) { // from MSB to LSB regr[num][l]=regr[num][l-1]; regi[num][l]=regi[num][l-1]; } // end of for loop regr[num][0]=Zr[1][num]; regi[num][0]=Zi[1][num]; }//end of function -1 … 1 0 Zr[1][num] Zi[1][num]

20 Simulation by C language (cont ’ d) Simulation results: 16 points completed 64 points with error

21 Future Work Develop more points Perform it in HDL language, ex: Verilog Final Presentation

22 Conclusion Under how to solve FFT by hardware Develop W(n) to 64 points Construct Radix2 2 ‘s model C simulation with some faults

23 Reference Shousheng He & Torkelson, M., ” A new approach to pipeline FFT processor, ” Proceedings of IPPS ’ 96, April 1996, pp Shousheng He & Torkelson, M., ” Designing Pipeline FFT Processor for OFDM (de)Modulation, ” Signals, Systems, and Electronics ’ Sep-2 Oct 1998,pp 施信毓, ” 95-1 Under-Graduate Project, Final Project: single-path Delay Feedback FFT ”,04 Oct ms.htm ms.htm