Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.

Slides:



Advertisements
Similar presentations
Dual-Threshold Voltage Design of Sub-threshold Circuits
Advertisements

Mixed Signal Chip Design Lab CMOS Analog Addition/Subtraction Jaehyun Lim, Kyusun Choi Department of Computer Science and Engineering The Pennsylvania.
October 2nd Karthik’s MS Defense DVF4: A Dual Vth Feedback Type 4-Transistor Level Converter Master’s Defense Karthik Naishathrala Jayaraman Department.
2007 MURI Review The Effect of Voltage Fluctuations on the Single Event Transient Response of Deep Submicron Digital Circuits Matthew J. Gadlage 1,2, Ronald.
Power Reduction Techniques For Microprocessor Systems
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Dual Voltage Design for Minimum Energy Using Gate Slack Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849, USA IEEE ICIT-SSST.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
Dec. 1, 2005ELEC Class Presentation1 Impact of Pass-Transistor Logic (PTL) on Power, Delay and Area Kalyana R Kantipudi ECE Department Auburn.
August 12, 2005Uppalapati et al.: VDAT'051 Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells 9th VLSI Design & Test Symposium.
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
学术报告 A Low Noise Amplifier For 5.2GHz Application Using 0.18um CMOS 蔡天昊
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Robust Low Power VLSI R obust L ow P ower VLSI Finding the Optimal Switch Box Topology for an FPGA Interconnect Seyi Ayorinde Pooja Paul Chaudhury.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
DELAY INSERTION METHOD IN CLOCK SKEW SCHEDULING BARIS TASKIN and IVAN S. KOURTEV ISPD 2005 High Performance Integrated Circuit Design Lab. Department of.
Minimizing Response Time Implication in DVS Scheduling for Low Power Embedded Systems Sharvari Joshi Veronica Eyo.
Tehran University Faculty of Engineering VLSI Course Class Presentation Fall 1383 Professor: DR Fakhraei Presenter: Nasim Hajary.
“ Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits ” By Ronald G. Dreslinski, Michael Wieckowski, David Blaauw,
Power Saving at Architectural Level Xiao Xing March 7, 2005.
Graduate Category: Engineering and Technology Degree Level: Ph.D. Abstract ID# 122 On-Chip Spectral Analysis for Built-In Testing and Digital Calibration.
Mehdi Sadi, Italo Armenti Design of a Near Threshold Low Power DLL for Multiphase Clock Generation and Frequency Multiplication.
32-BIT ADDER FOR LOW VOLTAGE OPERATION WITH LEVEL CONVERTERS PRIYADHARSHINI S.
Low-Power Wireless Sensor Networks
Copyright Agrawal, 2011ELEC5270/6270 Spr 15, Lecture 71 ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Energy Source Design Vishwani.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Using Cycle Efficiency as a System Designer Metric to Characterize an Embedded DSP and Compare Hard Core vs. Soft Core Advisor Dr. Vishwani D. Agrawal.
Canary SRAM Built in Self Test for SRAM VMIN Tracking
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Self-* Systems CSE 598B Paper title: Dynamic ECC tuning for caches Presented by: Niranjan Soundararajan.
Comparative Analysis of Ultra-Low Voltage Flip-Flops for Energy Efficiency Bo Fu and Paul Ampadu IEEE International Symposium on Circuits and Systems,pp ,
Power Estimation and Optimization for SoC Design
A Low-Leakage 2.5GHZ Skewed CMOS 32-Bit Adder For Nanometer CMOS Technologies Advanced VLSI Course Seminar December 28, 2006 Peresented by: Rabe’e Majidi.
1 A 69-mW 10-bit 80-MSample/s Pipelined CMOS ADC 班級 : 積體所碩一 學生 : 林義傑 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003.
A 10b Ternary SAR (TSAR) ADC with Decision Time Quantization Based Redundancy Jon Guerber, Manideep Gande, Hariprasath Venkatram, Allen Waters, Un-Ku Moon.
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
Spring 2010, Mar 10ELEC 7770: Advanced VLSI Design (Agrawal)1 ELEC 7770 Advanced VLSI Design Spring 2010 Gate Sizing Vishwani D. Agrawal James J. Danaher.
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA Project Guide: Smt. Latha Dept of E & C JSSATE, Bangalore. From: N GURURAJ M-Tech,
Multi-Split-Row Threshold Decoding Implementations for LDPC Codes
Preface.
Patricia Gonzalez Divya Akella VLSI Class Project.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
Class Report 何昭毅 : Voltage Scaling. Source of CMOS Power Consumption  Dynamic power consumption  Short circuit power consumption  Leakage power consumption.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Multiprocessor SoC integration Method: A Case Study on Nexperia, Li Bin, Mengtian Rong Presented by Pei-Wei Li.
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
11/15/05ELEC / Lecture 191 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
A Multi-Mode Selectable DC-DC Converter for Ultralow Power Circuits Ernie Bowden Doug Sorenson.
EE222 Winter 2013 Sung Mo (Steve) Kang Office BE235 Phone Cell Office BE
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
LOW POWER DESIGN METHODS
M V Ganeswara Rao Associate Professor Dept. of ECE Shri Vishnu Engineering College for Women Bhimavaram Hardware Architecture of Low-Power ALU using Clock.
Adiabatic Technique for Energy Efficient Logic Circuits Design
Evaluating Register File Size
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
Ultra-Low-Voltage UWB Baseband Processor
Low Power Design in VLSI
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
Circuit Design Techniques for Low Power DSPs
Presentation transcript:

Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5 th, 2006

Outline Motivation Methodology Related work Results Conclusion

Performance Classes 1.Unconstrained –General-purpose microprocessors 2.Constrained –Digital signal processors –Many embedded devices Relation to technology scaling

Methodology Build circuit in multiple technologies Vary supply voltage and measure: –Delay –Active power –Leakage power Vary duty cycle and frequency and compute minimum power

Circuit

PTM Threshold Voltages TechnologyVth0nVth0p 130nm nm nm nm nm

Total Power Calculation = activity factor T = circuit delay T target = maximum delay = 1 / frequency

Related Work 1995: Minimizing Power Consumption in Digital CMOS Circuits –Chandrakasan & Brodersen 2005: An Ultra Low Power System Architecture for Sensor Network Applications –Hempstead, et al

Conclusion 0.6um best choice for low duty cycle, low frequency operation Problems: –PTMs –1.6um

References S. Borkar, “Design Challenges of Technology Scaling”, IEEE Micro, vol. 19, no. 4, pp , A. Chandrakasan and R. Brodersen, “Minimizing Power Consumption in Digital CMOS Circuits”, Proceedings of the IEEE, vol. 83, no. 4, pp , M. Hempstead, et al, “An Ultra Low Power System Architecture for Sensor Network Applications”, Proceedings of the 32nd International Symposium on Computer Architecture, Y. Cao, et al, “New Paradigm of Predictive MOSFET and Interconnect Modeling for Early Circuit Simulation”, CICC, pp , C. Hu, “BSIM Model for Circuit Design Using Advanced Technologies”, Symp. VLSI Circuits, pp. 5-6, 2001.