1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.

Slides:



Advertisements
Similar presentations
Modern VLSI Design 3e: Chapter 3 Copyright 1998, 2002 Prentice Hall PTR Topics n Combinational logic functions. n Static complementary logic gate structures.
Advertisements

FPGA-Based System Design: Chapter 2 Copyright 2003 Prentice Hall PTR Gate Design n Static complementary logic gate structures. n Switch logic. n Other.
Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
VADA Lab.SungKyunKwan Univ. 1 L3: Lower Power Design Overview (2) 성균관대학교 조 준 동 교수
Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
Power Reduction Techniques For Microprocessor Systems
XPower for CoolRunner™-II CPLDs
Chapter 09 Advanced Techniques in CMOS Logic Circuits
Synchronous Digital Design Methodology and Guidelines
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
Designing Combinational Logic Circuits: Part2 Alternative Logic Forms:
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
1 A Variation-tolerant Sub- threshold Design Approach Nikhil Jayakumar Sunil P. Khatri. Texas A&M University, College Station, TX.
Very low power pipelines using significance compression Canal, R. Gonzalez, A. Smith, J.E. Dept. d'Arquitectura de Computadors, Univ. Politecnica de Catalunya,
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Lecture #24 Gates to circuits
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
CSE477 L26 System Power.1Irwin&Vijay, PSU, 2002 Low Power Design in Microarchitectures and Memories [Adapted from Mary Jane Irwin (
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Lecture 5 – Power Prof. Luke Theogarajan
Lecture 7: Power.
Power-Aware Computing 101 CS 771 – Optimizing Compilers Fall 2005 – Lecture 22.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
Low power architecture and HDL coding practices for on-board hardware applications Kaushal D. Buch ASIC Engineer, eInfochips Ltd., Ahmedabad, India
The CMOS Inverter Slides adapted from:
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
CSE477 L26 System Power.1Irwin&Vijay, PSU, 2002 TKT-1527 Digital System Design Issues Low Power Techniques in Microarchitectures and Memories Mary Jane.
Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic Style Sumeer Goel, Ashok Kumar, and Magdy A. Bayoumi.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
MOS Transistors The gate material of Metal Oxide Semiconductor Field Effect Transistors was original made of metal hence the name. Present day devices’
17 Sep 2002Embedded Seminar2 Outline The Big Picture Who’s got the Power? What’s in the bag of tricks?
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
Power Reduction for FPGA using Multiple Vdd/Vth
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University.
A Class Presentation for VLSI Course by : Fatemeh Refan Based on the work Leakage Power Analysis and Comparison of Deep Submicron Logic Gates Geoff Merrett.
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
Basics of Energy & Power Dissipation Lecture notes S. Yalamanchili, S. Mukhopadhyay. A. Chowdhary.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Section 10: Advanced Topics 1 M. Balakrishnan Dept. of Comp. Sci. & Engg. I.I.T. Delhi.
Ratioed Circuits Ratioed circuits use weak pull-up and stronger pull-down networks. The input capacitance is reduced and hence logical effort. Correct.
Washington State University
DCSL & LVDCSL: A High Fan-in, High Performance Differential Current Switch Logic Families Dinesh Somasekhaar, Kaushik Roy Presented by Hazem Awad.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Pseudo-nMOS gates. n DCVS gates. n Domino gates.
L 19: Low Power Circuit Optimization. Power Optimization Modeling and Technology Circuit Design Level –logic Families –low-power Flip-Flops –low-power.
Guy Lemieux, Mehdi Alimadadi, Samad Sheikhaei, Shahriar Mirabbasi University of British Columbia, Canada Patrick Palmer University of Cambridge, UK SoC.
Chapter 1 Combinational CMOS Logic Circuits Lecture # 4 Pass Transistors and Transmission Gates.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Lecture 10: Circuit Families. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 10: Circuit Families2 Outline  Pseudo-nMOS Logic  Dynamic Logic  Pass Transistor.
경종민 Low-Power Design for Embedded Processor.
Computer Architecture Lecture 3 Combinational Circuits Ralph Grishman September 2015 NYU.
Basics of Energy & Power Dissipation
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Low power design. n Pipelining.
EE141 © Digital Integrated Circuits 2nd Combinational Circuits 1 A few notes for your design  Finger and multiplier in schematic design  Parametric analysis.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
By: C. Eldracher, T. McKee, A Morrill, R. Robson. Supervised by: Professor Shams.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Dynamic Logic.
EE141 Combinational Circuits 1 Chapter 6 (I) Designing Combinational Logic Circuits Dynamic CMOS LogicDynamic CMOS Logic V1.0 5/4/2003.
VADA Lab.SungKyunKwan Univ. 1 L5:Lower Power Architecture Design 성균관대학교 조 준 동 교수
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
CS203 – Advanced Computer Architecture
LOW POWER DESIGN METHODS
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
A High Performance SoC: PkunityTM
Presentation transcript:

1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson

2 Today’s Topics Introduction Power consumption How to reduce power consumption Tools used In the future

3 Introduction Why do we want to decrease power consumption? –The marked wants longer battery life, higher performance and smaller size for portable devices –Small embedded systems with a small power source that needs to have a long life time –Lower power consumption decreases working temperature of the device

4 Introduction Higher performance and longer battery life is conflicting demands –Sophisticated design techniques is needed to meet both of them Power management is one of the most critical design issues –Meet the demands of the market –Keep the working temperature at a acceptable level

5 Where is the power consumed? –Static power consumption –Short circuit power consumption –Dynamic power consumption

6 Where is the power consumed? Static power consumption PULL-UP NETWORK PULL-DOWN NETWORK GND V dd inout GND

7 Where is the power consumed? Short circuit power consumption PULL-UP NETWORK PULL-DOWN NETWORK GND V dd inout GND I short_circuit

8 Where is the power consumed? Dynamic power consumption PULL-UP NETWORK PULL-DOWN NETWORK GND V dd inout GND I dynamic ßSwitching activity C out Switching capacitance V DD Supply voltage fFrequency

9 Reducing dynamic power consumption Decreasing switching activity (ß) –Can be done by using specific algorithms and coding modes. –This is the easiest way for a designer to influence the power consumption. Decreasing switching capacitance (C out ) –Can be done by using shorter wires and smaller devices. –Can only be changed below gate level.

10 Reducing dynamic power consumption Decreasing supply voltage (V DD ) –Has the biggest influence on dynamic power consumption. –Lower supply voltage results bigger delays. –The critical path must be reduced to make a lower supply voltage possible. Decreasing frequency (f) –Will reduce the performance. –Performance and power consumption must be balanced.

11 Decreasing switching activity Different coding techniques –Fewer bit transitions between two states Boolean expressions simplification –Gate minimization Avoid glitches –Get rid off unnecessary transitions Power down modes –Turn off parts of that are not in use

12 Different coding techniques Gray coding –Hamming distance of one –Used when a sequence is predictable FSMs Address busses –Makes full use of the bit-width

13 Different coding techniques Bus-inversion coding (BI) –Compare the previous and current word –Invert the data if it results in fewer transitions –A flag bit is used with the bus to indicate if the data is inverted or not –Suited for data busses Partial bus-inversion (PBI) –Divides the bus in different parts with its own flag –Suited for address busses

14 Different coding techniques Sign magnitude –Uses only one bit for the sign in contrast too two’s complement –Result in fewer transitions when going from a positive to a negative number –Needs more logic to implement than two’s complement bnbn b n-1...b0b0 magnitude sign

15 Boolean expressions simplification Minimize the number of gates to avoid unnecessary transitions Just minimize the number of gates is not optimal if the activity of the signals differ –One example Both expressions have the same number of gates If A have the highest transition activity Y is the better solution (A only affects two gates instead of three) X = AB + AC + CD Y = A(B + C) + CD

16 Avoid glitches Glitches results in unnecessary transitions, glitching power loss Logic with a long depth are more prone to have glitches Flip-flops can be added to shorten the depth of the logic to minimize the influence of glitches

17 Power down modes Power supply shut down –Turns of the power in an entire module –Reducing the power consumption in that part to zero Clock gating –Halts the clock signal and reduces dynamic power consumption to zero –There will still be leakage –Gating the clock will increase the clock skew

18 Power down modes Memory partitioning –Shut down a part of the memory that is not used, no information is stored at the moment –Some method to know when a partition is used is needed –Memory usage spread out over several partitions can be a problem Flip-flop enable –Decreases switching activity of flip-flops that are disabled but the clock signal is still active at all the time

19 Tools Two major categories –Power-analysis/estimation Estimates what parts of the design that will consume most power Lets the designer do high level optimizations in an early stage –Power-optimization Implements different power optimization techniques Can be done without the interaction of the designer

20 Tools Used on different levels of the design –Behavior-level Not implemented in any commercial tools –RT-level Fast power estimations can be done due to the high abstraction level Clock gating can be implemented as a power optimization at this level

21 Tools Used on different levels of the design (cont.) –Gate-level The power consumption can be estimated from the equation for dynamic power consumption Medium accuracy and speed –Transistor-level The result is very accurate both for power estimation and optimization Tools used at this level are not very popular due to; high runtime and that most vendors do not provide transistor level netlists

22 Tools Cadence Encounter –Supports power optimizations, e.g. Clock gating Multi-supply voltage (voltage scaling) –See figure for complete low-power design flow

23 Tools Synopsys power compiler –Used both for power analysis and power optimization –Power analysis can be done both on RT- and gate- level –Power optimizations that can be done are Clock gating Operand isolation Leakage optimization Etc.

24 The future To meet the demands of higher performance and longer battery time new techniques are needed Leakage will be a bigger part of the total power consumption when smaller technologies are used