ECE 331 – Digital Systems Design Sequential Logic Circuits: FSM Design (Lecture #20)

Slides:



Advertisements
Similar presentations
COE 202: Digital Logic Design Sequential Circuits Part 2
Advertisements

State-machine structure (Mealy)
State Machine Design Procedure
Analysis of Clocked Sequential Circuits
TOPIC : Finite State Machine(FSM) and Flow Tables UNIT 1 : Modeling Module 1.4 : Modeling Sequential circuits.
COE 202: Digital Logic Design Sequential Circuits Part 3
ECE 331 – Digital System Design Latches and Flip-Flops (Lecture #17) The slides included herein were taken from the materials accompanying Fundamentals.
Sequential Circuits1 DIGITAL LOGIC DESIGN by Dr. Fenghui Yao Tennessee State University Department of Computer Science Nashville, TN.
Digital Electronics Chapter 5 Synchronous Sequential Logic.
Multiplexors Sequential Circuits and Finite State Machines Prof. Sin-Min Lee Department of Computer Science.
Computing Machinery Chapter 5: Sequential Circuits.
Digital Logic Design Brief introduction to Sequential Circuits and Latches.
Registers.1. Register  Consists of N Flip-Flops  Stores N bits  Common clock used for all Flip-Flops Shift Register  A register that provides the.
ECE 331 – Digital System Design Introduction to and Analysis of Sequential Logic Circuits (Lecture #20) The slides included herein were taken from the.
ECE 331 – Digital System Design State Reduction and State Assignment (Lecture #22) The slides included herein were taken from the materials accompanying.
ECE 331 – Digital System Design
Sequential Circuits and Finite State Machines Prof. Sin-Min Lee
EECC341 - Shaaban #1 Lec # 14 Winter Clocked Synchronous State-Machines Such machines have the characteristics: –Sequential circuits designed.
Give qualifications of instructors: DAP
Chapter 8 -- Analysis and Synthesis of Synchronous Sequential Circuits.
A clocked synchronous state-machine changes state only when a triggering edge or “tick” occurs on the clock signal. ReturnNext  “State-machine”: is a.
ECE 301 – Digital Electronics
ECE 331 – Digital System Design Counters (Lecture #19) The slides included herein were taken from the materials accompanying Fundamentals of Logic Design,
ECE 331 – Digital System Design Sequential Circuit Design (Lecture #23) The slides included herein were taken from the materials accompanying Fundamentals.
ECE 301 – Digital Electronics Introduction to Sequential Logic Circuits (aka. Finite State Machines) and FSM Analysis (Lecture #17)
ECE 331 – Digital Systems Design Introduction to Sequential Logic Circuits (aka. Finite State Machines) and FSM Analysis (Lecture #19)
ECE 301 – Digital Electronics Flip-Flops and Registers (Lecture #15)
IKI c-Synthesis of Sequential Logic Bobby Nazief Semester-I The materials on these slides are adopted from: Prof. Daniel Gajski’s transparency.
Digital Design Lecture 10 Sequential Design. State Reduction Equivalent Circuits –Identical input sequence –Identical output sequence Equivalent States.
Circuit, State Diagram, State Table
Lecture 4 – State Machine Design 9/26/20081ECE Lecture 4.
Chapter 8 -- Analysis and Synthesis of Synchronous Sequential Circuits.
Introduction to Sequential Logic Design Finite State-Machine Design.
1 Lecture #12 EGR 277 – Digital Logic Synchronous Logic Circuits versus Combinational Logic Circuits A) Combinational Logic Circuits Recall that there.
CPEN Digital System Design Chapter 5 - Sequential Circuits Sequential Circuit Design C. Gerousis © Logic and Computer Design Fundamentals, 4 rd Ed.,
Charles Kime & Thomas Kaminski © 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Chapter 5 – Sequential Circuits Logic and Computer.
ECE 3130 – Digital Electronics and Design Lab 6 State Machines Fall 2012 Allan Guan.
1 Lecture 22 Sequential Circuits Analysis. 2 Combinational vs. Sequential  Combinational Logic Circuit  Output is a function only of the present inputs.
1 © 2014 B. Wilkinson Modification date: Dec Sequential Logic Circuits Previously, we described the basic building blocks of sequential circuits,
Introduction to State Machine
DLD Lecture 26 Finite State Machine Design Procedure.
ECE 301 – Digital Electronics
ANALYSIS OF SEQUENTIAL CIRCUITS by Dr. Amin Danial Asham.
Digital Circuits State Reduction and Assignment State Reduction reductions on the number of flip-flops and the number of gates a reduction in the.
DESIGN OF SEQUENTIAL CIRCUITS by Dr. Amin Danial Asham.
1 State Reduction Goal: reduce the number of states while keeping the external input-output requirements unchanged. State reduction example: a: input 0.
1Sequential circuit design Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA by Erol Sahin and Ruken Cakici.
Princess Sumaya University

CEC 220 Digital Circuit Design Mealy and Moore State Machines Friday, March 27 CEC 220 Digital Circuit Design Slide 1 of 16.
Chapter 8 -- Analysis and Synthesis of Synchronous Sequential Circuits.
© 2009 Pearson Education, Upper Saddle River, NJ All Rights ReservedFloyd, Digital Fundamentals, 10 th ed Digital Logic Design Dr. Oliver Faust.
Synthesis Synchronous Sequential Circuits synthesis procedure –Word description of problem /hardest; art, not science/ –Derive state diagram & state table.
ECE 301 – Digital Electronics Brief introduction to Sequential Circuits and Latches (Lecture #14)
ECE 331 – Digital System Design Introduction to Sequential Circuits and Latches (Lecture #16)
Sequential Circuit Design 05 Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA.
State Machine Design Shiva choudhary En No.: Electronics and comm. Dept K.I.T.,Jamnagar 1.
Digital Design: With an Introduction to the Verilog HDL, 5e M. Morris Mano Michael D. Ciletti Copyright ©2013 by Pearson Education, Inc. All rights reserved.
1 Lecture #15 EGR 277 – Digital Logic Reading Assignment: Chapter 5 in Digital Design, 3 rd Edition by Mano Example: (Problem 5-17 from Digital Design,
FIGURE 5.1 Block diagram of sequential circuit
Digital Design Lecture 9
Asynchronous Inputs of a Flip-Flop
ECE 301 – Digital Electronics
FINITE STATE MACHINES (FSMs)
Lecture 17 Logistics Last lecture Today HW5 due on Wednesday
DESIGN OF SEQUENTIAL CIRCUITS
Instructor: Alexander Stoytchev
Chapter5: Synchronous Sequential Logic – Part 4
Lecture 17 Logistics Last lecture Today HW5 due on Wednesday
Instructor: Alexander Stoytchev
Presentation transcript:

ECE 331 – Digital Systems Design Sequential Logic Circuits: FSM Design (Lecture #20)

ECE Digital Systems Design2 FSM Design: Procedure Understand specifications Derive state diagram Create state table Perform state minimization (if necessary) Encode states (state assignment) Create state-assigned table Select type of Flip-Flop to use Determine Flip-Flop input equations and FSM output equation(s) Draw logic diagram

ECE Digital Systems Design3 Moore Machines FSM Design

ECE Digital Systems Design4 Example: Design a FSM that detects a sequence of three or more consecutive ones on an input bit stream. The FSM should output a 1 when the sequence is detected, and a 0 otherwise. A circuit that detects the occurrence of a particular pattern on its input is referred to as a sequence detector. FSM Design (Moore)

ECE Digital Systems Design5 FSM Design: Example (Moore) Input: … Output: …

ECE Digital Systems Design6 FSM Design: Example (Moore) State Diagram

ECE Digital Systems Design7 FSM Design: Example (Moore) QAQA QBQB QA+QA+ QB+QB+ State Table

ECE Digital Systems Design8 FSM Design: Example (Moore) The choice of Flip-Flop determines the complexity of the combinational logic required in the design of the state machine. Each type of Flip-Flop has a unique characteristic equation. SR Flip-Flop  Q + = S + R'.Q D Flip-Flop  Q + = D JK Flip-Flop  Q + = J.Q' + K'.Q T Flip-Flop  Q + = T '.Q + T.Q'

ECE Digital Systems Design9 Synthesis using D Flip-Flops (Q + = D) FSM Design (Moore)

ECE Digital Systems Design10 FSM Design: Example (Moore) Flip-Flop Input D A D B Q + = D next stateflip-flop input QAQA QBQB QA+QA+ QB+QB+

ECE Digital Systems Design11 FSM Design: Example (Moore)

ECE Digital Systems Design12 FSM Design: Example (Moore) QAQA QBQB Q' B

ECE Digital Systems Design13 Synthesis using JK Flip-Flops (Q + = J.Q' + K'.Q) FSM Design (Moore)

ECE Digital Systems Design14 FSM Design: Example (Moore) + Excitation Table

ECE Digital Systems Design15 FSM Design: Example (Moore) Q + = J.Q' + K'.Q next stateflip-flop inputs QAQA QBQB QA+QA+ QB+QB+

ECE Digital Systems Design16 FSM Design: Example (Moore)

ECE Digital Systems Design17 FSM Design: Example (Moore) QAQA QBQB Q' B Q' A

ECE Digital Systems Design18 Example: Design a Finite State Machine (FSM) that meets the following specifications: This is another example of a sequence detector. 1. The circuit has one input, w, and one output, z. 2. All changes in the circuit occur on the positive edge of the clock. 3. The output z is equal to 1 if the pattern 101 is detected on the input w. Otherwise, the value of z is equal to 0. Overlapping sequences should not be detected. FSM Design (Moore)

ECE Digital Systems Design19 FSM Design: Example (Moore) Input (w): … Output (z): …

ECE Digital Systems Design20 FSM Design: Example (Moore) Start State End State State Diagram

ECE Digital Systems Design21 Example: Design a Finite State Machine (FSM) that meets the following specifications: This is another example of a sequence detector. 1. The circuit has one input, w, and one output, z. 2. All changes in the circuit occur on the positive edge of the clock. 3. The output z is equal to 1 if the pattern 101 is detected on the input w. Otherwise, the value of z is equal to 0. Overlapping sequences should be detected. FSM Design (Moore)

ECE Digital Systems Design22 FSM Design: Example (Moore) Input (w): … Output (z): …

ECE Digital Systems Design23 FSM Design: Example (Moore) Start State End State State Diagram

ECE Digital Systems Design24 Example: Design a Finite State Machine (FSM) that meets the following specifications: This is example of a sequence detector that can detect 2 sequences. 1. The circuit has one input, w, and one output, z. 2. All changes in the circuit occur on the positive edge of the clock. 3. The output z is equal to 1 if the pattern 110 or the pattern 010 is detected on the input w. Otherwise, the value of z is equal to 0. Overlapping sequences should be detected. FSM Design (Moore)

ECE Digital Systems Design25 FSM Design: Example (Moore) Input (w): … Output (z): …

ECE Digital Systems Design26 FSM Design: Example (Moore) State Diagram

ECE Digital Systems Design27 Acknowledgments The slides used in this lecture were taken, with permission, from those provided by Pearson Prentice Hall for Digital Design (4 th Edition). They are the property of and are copyrighted by Pearson Education.