MonolithIC 3D  Inc. Patents Pending 1 Monolithic 3D – The Most Effective Path for Future IC Scaling.

Slides:



Advertisements
Similar presentations
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
Advertisements

Assembly and Packaging TWG
Packaging.
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
by Alexander Glavtchev
An International Technology Roadmap for Semiconductors
MonolithIC 3D  Inc. Patents Pending 1 THE MONOLITHIC 3D-IC DISRUPTOR A DISRUPTOR TO THE SEMICONDUCTOR INDUSTRY.
Wafer Level Packaging: A Foundry Perspective
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT Flow 1 MonolithIC 3D Inc., Patents Pending.
ECE 6466 “IC Engineering” Dr. Wanda Wosik
GRAPHENE TRANSISTORS AND MEMORY. MOORE’S LAW THE PROBLEM 1. Reduction in saturation mode drain current. 2. Variation in Carrier velocity. 3. Modification.
MonolithIC 3D  Inc. Patents Pending 1 THE MONOLITHIC 3D-IC: Logic + eDRAM on top.
MonolithIC 3D  Inc. Patents Pending 1 The Monolithic 3D-IC A Disruptor to the Semiconductor Industry.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
Nanoscale structures in Integrated Circuits By Edward Mulimba.
Performance Analysis and Technology of 3D ICs
For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN © 2002.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
A DISRUPTOR TO THE SEMICONDUCTOR INDUSTRY
3.1Introduction to CPU Central processing unit etched on silicon chip called microprocessor Contain tens of millions of tiny transistors Key components:
3-Dimensional IC Fabrication Dominic DelVecchio Bradley Hensel.
“Atoms Don’t Scale”=> What is Beyond 7nm (2019)
Technology For Realizing 3D Integration By-Dipyaman Modak.
Precision Bonders - A Game Changer for Monolithic 3D
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs February MonolithIC 3D Inc., Patents Pending.
Application of through-silicon-via (TSV) technology to making of high-resolution CMOS image sensors Name: Qian YU Student ID:
Technologies for integrating high- mobility compound semiconductors on silicon for advanced CMOS VLSI Han Yu ELEC5070.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Presentation for Advanced VLSI Course presented by:Shahab adin Rahmanian Instructor:Dr S. M.Fakhraie Major reference: 3D Interconnection and Packaging:
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
The Short, Medium and Long-Term Path to the 3D Ecosystem
Avogadro-Scale Engineering: Form and Function MIT, November 18, Three Dimensional Integrated Circuits C.S. Tan, A. Fan, K.N. Chen, S. Das, N.
Comparison of various TSV technology
Silicon – On - Insulator (SOI). SOI is a very attractive technology for large volume integrated circuit production and is particularly good for low –
Lecture 2. Logic Gates Prof. Taeweon Suh Computer Science Education Korea University 2010 R&E Computer System Education & Research.
Report on TIPP D-IC Satellite Meeting Carl Grace June 21, 2011.
Active Packaging: Power Management for Nanoprocessors Raj Nair, ComLSI Inc. Presented to the First AZ Nanotechnology Symposium March 16, 2006.
Detailed Routing: New Challenges
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
Beyond Moore's Law Spencer Anderson 13- April Moore's Law has both theoretical and practical limits. Most practical limits (e.g. energy, spatial)
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
Tezzaron Semiconductor 04/27/2015 New Trends in Advanced 3D Vertical Interconnect Technology 1.
Foundry Characteristics
SEMINAR PRESENTATION ON IC FABRICATION PROCESS PREPARED BY: GUIDED BY: VAIBHAV RAJPUT(12BEC102) Dr. USHA MEHTA SOURABH JAIN(12BEC098)
SEMINAR PRESENTATION ON IC FABRICATION PROCESS PREPARED BY: GUIDED BY: VAIBHAV RAJPUT(12BEC102) Dr. USHA MEHTA SOURABH JAIN(12BEC098)
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
NMOS FABRICATION 1. Processing is carried out on a thin wafer cut from a single crystal of silicon of high purity into which the required p-impurities.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs November MonolithIC 3D Inc., Patents Pending.
ADVANCED HIGH DENSITY INTERCONNECT MATERIALS AND TECHNIQUES DIVYA CHALLA.
Trends in IC technology and design J. Christiansen CERN - EP/MIC
The Fate of Silicon Technology: Silicon Transistors Maria Bucukovska Scott Crawford Everett Comfort.
MonolithIC 3D  Inc. Patents Pending 1 Precision Bonders - A Game Changer for Monolithic 3D DISRUPTOR A DISRUPTOR TO THE SEMICONDUCTOR INDUSTRY Paper 11.3.
Lecture 2. Logic Gates Prof. Taeweon Suh Computer Science & Engineering Korea University COSE221, COMP211 Logic Design.
Low Mass, Radiation Hard Vertex Detectors R. Lipton, Fermilab Future experiments will require pixelated vertex detectors with radiation hardness superior.
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE4271 VLSI Design Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital.
MarketsandMarkets Presents Global 3D IC and TSV Interconnect Market worth $6.55 billion by 2016
Graphene Based Transistors-Theory and Operation; Development State
TECHNOLOGY TRENDS.
Technology advancement in computer architecture
Top-down and Bottom-up Processes
INTRO TO TDM AND BUM TDM – Top Down Manufacturing
Chapter 10: IC Technology
Overview of VLSI 魏凱城 彰化師範大學資工系.
An Automated Design Flow for 3D Microarchitecture Evaluation
INTRO TO TDM AND BUM TDM – Top Down Manufacturing
Chapter 10: IC Technology
Chapter 10: IC Technology
Unit -4 Introduction to Embedded Systems Tuesday.
Presentation transcript:

MonolithIC 3D  Inc. Patents Pending 1 Monolithic 3D – The Most Effective Path for Future IC Scaling

Agenda:  Semiconductor Industry is reaching an inflection point  Monolithic 3D IC – The next generation technology driver  Monolithic 3D – Game Change, using existing transistor process !  Heat removal  The MonolithIC 3D Advantages  Summary

Martin van den Brink - EVP & CTO, ASML ISSCC 2013 & SemiconWest 2013

The Current 2D-IC is Facing Escalating Challenges  On-chip interconnect is  Dominating device power consumption, performance and cost B. Wu, A. Kumar, Applied Materials

3D and EDA need to make up for Moore’s Law, says Qualcomm*  “Qualcomm is looking to monolithic 3D and smart circuit architectures to make up for the loss of traditional 2D process scaling as wafer costs for advanced nodes continue to increase... Now, although we are still scaling down it’s not cost-economic anymore”  “Interconnect RC is inching up as we go to deeper technology. That is a major problem because designs are becoming interconnect-dominated. Something has to be done about interconnect. What needs to be done is monolithic three-dimensional ICs.”  “TSV...are not really solving the interconnect issue I’m talking about. So we are looking at true monolithic 3D. You have normal vias between different stacks.” * Karim Arabi Qualcomm VP of engineering, DAC 2014 Key Note

Monolithic 3D Qualcomm SoCs by 2016* *EE Times 3/31/2015  “3DV, enables die size to be shrunk in half, while simultaneously increasing yields,“  Qualcomm's motivation, according to Arabi, is market share in the 8 billion smartphones that he predicts will be produced from 2014 to In the fabrication process of front-to-back (F2B) 3DVs (a) the bottom tier is created the same way as 2D- ICs. (b,c,d) To add another layer, first a thin layer of silicon is deposited on top of the bottom tier. (e) This front-end-of-line (FEOL) process of the top tier permits the addition of normal vertical vias and top-tier contacts. (f) Finally back-end-of-line (BEOL) processing creates the top-tier. (Source:Qualcomm)

Even Intel Agrees – 7nm is the Limit for Silicon ISSCC 2015

Conclusions:  Dimensional Scaling (“Moore’s Law”) is already exhibiting diminishing returns  The road map beyond 2017 (7nm) is unclear  While the research community is working on many interesting new technologies (see below), none of them seem mature enough to replace silicon for Carbon nanotube- Indium gallium arsenide - 2D (MoS 2, etc.) transistors - Graphene- Spintronics - Nanowire- Molecular computing - Photonics- Quantum computing  3D IC is considered, by all, as the near-term solution, Monolithic 3D IC is well positioned to be so, as it uses the existing infrastructure!  It is safe to state that Monolithic 3D is the only alternative that could be ready for high volume in 2019 !!

11 10,000 MONOLITHIC 10,000x the Vertical Connectivity of TSV

12  Processing on top of copper interconnects should not make the copper interconnect exceed 400 o C  How to bring mono-crystallized silicon on top at less than 400 o C  How to fabricate state-of-the-art transistors on top of copper interconnect and keep the interconnect below at less than 400 o C  Misalignment of pre-processed wafer to wafer bonding step used to be ~1µm  How to achieve 100nm or better connection pitch  How to fabricate thin enough layer for inter-layer vias of ~50nm The Monolithic 3D Challenge Why is it not already in wide use?

MonolithIC 3D – Innovative Flows  RCAT (2009) – Process the high temperature on generic structures prior to ‘smart-cut’, and finish with cold processes – Etch & Depositions  Gate Replacement (2010) (=Gate Last, HKMG) - Process the high temperature on repeating structures prior to ‘smart-cut’, and finish with ‘gate replacement’, cold processes – Etch & Depositions  Laser Annealing (2012) – Use short laser pulse to locally heat and anneal the top layer while protecting the interconnection layers below from the top heat Game Change, using existing transistor process !  Modified ELTRAN (2015) – Use ELTRAN for low cost, No defects, Existing transistor flow  Precise Bonder (2014) – Use new precise bonders, offering low cost flow with minimal R&D

ELTRAN® - Epitaxial Layer TRANsfer  Originated, developed and produced at Canon Inc. ‘

M3D Leveraging the ELTRAN Idea  Both donor and carrier wafer could be pre-processed  Donor wafer:  Carrier wafer:  No impact on processed layer or on device processing  Donor and Carrier could be easily recycled – reused  Minimal incremental cost per layer (porous + epi <$20) porous ‘cut’ layer epi. layer Base wafer - reused porous ‘cut’ layer oxide layer Base wafer - reused

16 ~700 µm Donor Wafer Use standard flow to process “Stratum 3” - using ELTRAN donor wafer (through silicidation) MonolithIC 3D  Inc. Patents Pending Stratum 3 porous layer PMOS NMOS Silicon Poly Oxide STI epi

17 ~700µm Donor Wafer Silicon Bond to a ELTRAN carrier-wafer ~700µm Carrier Wafer STI oxide to oxide bond porous layer

18 ~700µm Donor Wafer ‘Cut’ Donor Wafer off ~700µm Carrier Wafer Transferred ~100nm Layer - Stratum 3 Silicon STI

19 Etch Off the Porous Silicon and Smooth ~700µm Carrier Wafer ~100nm STI Silicon Oxide porous layer

20 Use standard flow to process “Stratum 2” Note: High Temperature is OK ~100nm Layer ~700µm Carrier Wafer Silicon Porous ‘cut’ layer STI High Performance Transistors Oxide Stratum 2 Stratum 3 Need to set vertical isolation

21 Add at least one interconnect layer ~700µm Carrier Wafer ~100nm Transferred Layer Stratum-2 copper interconnection layers Stratum 2 Stratum 3 For some applications such as Image Sensor, this could be it !

MonolithIC 3D Inc. Patents Pending 22 Transfer onto Final carrier ~700µm Carrier Wafer Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Final Carrier porous ‘cut layer

MonolithIC 3D Inc. Patents Pending 23 Remove carrier-wafer Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Final Carrier

MonolithIC 3D Inc. Patents Pending 24 Add Stratum-3 Interconnections Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Final Carrier

Precise Bonder – Multi-Strata M3D  Utilizing the existing front-end process !!!  <200 nm (3σ)  Achieving 10,000x vertical connectivity as the upper strata will be thinner than 100 nm  Mix – Sequential/Parallel M3D  Low manufacturing costs

MonolithIC 3D Inc. Patents Pending 27 Transfer onto Pre-Processed Wafer ~700µm Carrier Wafer Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Base Wafer PMOS NMOS

MonolithIC 3D Inc. Patents Pending 28 Remove Carrier Wafer Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Base Wafer PMOS NMOS

MonolithIC 3D Inc. Patents Pending 29 Connect to Stratum 1 Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Base Wafer PMOS NMOS

MonolithIC 3D Inc. Patents Pending 30 Add Metal Layers Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Base Wafer PMOS NMOS

Monolithic 3D using ELTRAN & Precise Bonder  Utilizes existing transistor process  Could help upgrade any fab (leading or trailing)  Very competitive cost structure  Better power, performance, price than a node of scaling at a fraction of the costs !!!  Allows functionality that could not be attained by 2D devices

The Operational Thermal Challenge  Upper tier transistors are fully surrounded by oxide and have no thermal path to remove operational heat Good Heat Conduction ~100 W/mK Poor Heat Conduction ~1 W/mK

The Solution  Use Power Delivery (Vdd, Vss) Network (“PDN”) also for heat removal  Add heat spreader to smooth out hot spots  Add thermally conducting yet electrically non- conducting contacts to problem areas such as transmission gates

Cooling Three-Dimensional Integrated Circuits using Power Delivery Networks (PDNs) Hai Wei, Tony Wu, Deepak Sekar*, Brian Cronquist*, Roger Fabian Pease, Subhasish Mitra Stanford University, Monolithic 3D Inc.* 34 IEDM 2012 Paper

Monolithic 3D Heat Removal Architecture ( Achievable with Monolithic 3D vertical interconnect density)  Global power grid shared among multiple device layers, local power grid for each device layer  Local V DD grid architecture shown above  Optimize all cells in library to have low thermal resistance to V DD /V SS lines (local heat sink) pxpx pypy Patented and Patent Pending Technology Without Power Grid With Power Grid Signal wire Heat sink

1.Reduction die size and power – doubling transistor count - Extending Moore’s law Monolithic 3D is far more than just an alternative to 0.7x scaling !!! 2. Significant advantages from using the same fab, design tools 3. Heterogeneous Integration 4. Multiple layers Processed Simultaneously - Huge cost reduction (Nx)  Logic redundancy => 100x integration made possible  3D FPGA prototype, 2D volume 7. Enables Modular Design 8.Naturally upper layers are SOI 9.Local Interconnect above and below transistor layer 10.Re-Buffering global interconnect by upper strata 11.Others A. Image sensor with pixel electronics B. Micro-display The Monolithic 3D Advantage

Summary  We have reached an inflection point  Multiple practical paths to monolithic 3D exist  Heat removal of monolithic 3D could be designed in  Breaking News – The process barriers are now removed => Monolithic 3D – The Most Effective Path for Future IC Scaling