Encoder Section 4.10. Outline Review: Sum of Products Encoder Priority Decoder Application of Priority Decoder.

Slides:



Advertisements
Similar presentations
CDA 3100 Recitation Week 11.
Advertisements

ECE 2110: Introduction to Digital Systems Chapter 6 Combinational Logic Design Practices Encoders.
5.5 Encoders A encoder is a multiple-input, multiple-output logic circuit that converts coded inputs into coded outputs, where the input and output codes.
Give qualifications of instructors: DAP
Decoder Mano Section 4.9 &4.12.
Logic Circuits Design presented by Amr Al-Awamry
Princess Sumaya University
Multiplication and Division
CPEN Digital System Design
Combinational Circuits
Functions and Functional Blocks
Figure 4.1. The function f (x1, x2, x3) =  m(0, 2, 4, 5, 6).
Anurag Dwivedi.  Verilog- Hardware Description Language  Modules  Combinational circuits  assign statement  Control statements  Sequential circuits.
Full Adder Section 4.5 Spring, 2014 J.Ou. Schedule 62/3MondayBinary addition: full adder 72/5WednesdayBinary addition: full adder/four-bit adder L2/6ThursdayLab.
CSE 341 Verilog HDL An Introduction. Hardware Specification Languages Verilog  Similar syntax to C  Commonly used in  Industry (USA & Japan) VHDL 
Sequential Logic Design
2-to-1 Multiplexer: if Statement Discussion D7.1 Example 4.
DIGITAL SYSTEMS TCE OTHER COMBINATIONAL LOGIC CIRCUITS DECODERS ENCODERS.
Number Systems and Codes In PLC
Encoder, Tristate Driver
Combinational Logic Chapter 4.
9/15/09 - L15 Decoders, Multiplexers Copyright Joanne DeGroat, ECE, OSU1 Decoders and Multiplexers.
ITEC 352 Lecture 5 Low level components(3). Low level components Review Multiplexers Demultiplexer Minterm/Maxterm Karnaugh Map.
Decoders and Multiplexers Prof. Sin-Min Lee Department of Computer Science San Jose State University.
Decoder Mano Section 4.9. Outline Decoder Applications Verilog.
Designing Combinational Logic Circuits in Verilog - 2
CS 151: Digital Design Chapter 3 3-8: Encoding. CS 151 Encoding Encoding - the opposite of decoding - the conversion of a maximum of 2 n input code to.
Outline Analysis of Combinational Circuits Signed Number Arithmetic
Combinational Logic. Outline 4.1 Introduction 4.2 Combinational Circuits 4.3 Analysis Procedure 4.4 Design Procedure 4.5 Binary Adder- Subtractor 4.6.
COE 202: Digital Logic Design Combinational Circuits Part 3 Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office: Ahmad Almulhem, KFUPM.
ECE 2372 Modern Digital System Design
Lecture # 11 University of Tehran
Verilog Language Concepts
9/15/09 - L15 Decoders, Multiplexers Copyright Joanne DeGroat, ECE, OSU1 Decoders and Multiplexer Circuits.
1 Combinational Logic Design Digital Computer Logic Kashif Bashir
Decoders, Encoders, Multiplexers
CS 105 DIGITAL LOGIC DESIGN Chapter 4 Combinational Logic 1.
CS151 Introduction to Digital Design
Chapter Four Combinational Logic 1. Discrete quantities of information are represented in digital systems by binary codes. A binary code of n bits is.
1 Arithmetic, ALUs Lecture 9 Digital Design and Computer Architecture Harris & Harris Morgan Kaufmann / Elsevier, 2007.
1 Fundamentals of Computer Science Combinational Circuits.
Digital Systems Section 11 Decoders and Encoders.
Module 11.  In Module 9, we have been introduced to the concept of combinational logic circuits through the examples of binary adders.  Meanwhile, in.
Multiplexers Section Topics Multiplexers – Definition – Examples – Verilog Modeling.
MSI Combinational logic circuits
1 DLD Lecture 16 More Multiplexers, Encoders and Decoders.
ELECTRICAL ENGINEERING: PRINCIPLES AND APPLICATIONS, Third Edition, by Allan R. Hambley, ©2005 Pearson Education, Inc. Chapter 7 Logic Circuits.
Logic Design (CE1111 ) Lecture 4 (Chapter 4) Combinational Logic Prepared by Dr. Lamiaa Elshenawy 1.
Decoders A decoder is a logic circuit that detects the presence of a specific combination of bits at its input. Two simple decoders that detect the presence.
Full Adder Verilog(HO: wires/regs, always) Section 4.5 (Full adder)
Full Adders Vector Notation Multiplexers and Decoders Ellen Spertus MCS 111 September 6, 2001.
Multiplexers and Demultiplexers,
Combinational Logic Circuits
Combinational Circuit Design
Combinational Logic Circuits
Reference: Chapter 3 Moris Mano 4th Edition
Overview Introduction Logic Gates Flip Flops Registers Counters
ECE 2110: Introduction to Digital Systems Chapter 6 Combinational Logic Design Practices Encoders.
Chapter 4 Combinational Logic
FIGURE 4.1 Block diagram of combinational circuit
Analog-to-Digital Converters
FSM MODELING MOORE FSM MELAY FSM. Introduction to DIGITAL CIRCUITS MODELING & VERIFICATION using VERILOG [Part-2]
Homework Reading Tokheim, Section 5-10, 7-4.
Number Systems and Circuits for Addition
Multiplexers Anindya IE CSE.
Digital Systems Section 17 Decoders and Encoders.
13 Digital Logic Circuits.
ECE 331 – Digital System Design
Digital System Design Combinational Logic
Prof. Onur Mutlu ETH Zurich Spring March 2019
Presentation transcript:

Encoder Section 4.10

Outline Review: Sum of Products Encoder Priority Decoder Application of Priority Decoder

Definition of an Encoder 2 n input lines n output lines Performs the inverse operation of a decoder

Review: 3-to-8 Line Decoder Convert binary information from n input lines to 2 n unique output lines. This particular circuit take a binary number and convert it to an octal number.

Review of ES112: Sum of Products

Hardware Implementation

Example of 8-to-3 Encoder

Application of 8 x 3 Encoder The angular or rotary position of a compass is converted into a digital code by an encoder and inputted to the systems computer to provide navigational data

Implementation of an Encoder Focus on one output at a time x=D4+D5+D6+D7

Implementation of an Encoder Focus on one output at a time y=D2+D3+D6+D7

Implementation of an Encoder Focus on one output at a time z=D1+D3+D5+D7

Uncertainty of an Encoder What if all the input lines are 0? – Use a valid bit

Model an Encoder in Verilog input : D, an array of 8 elements output: Y, an array of 3 elements V, a valid bit to check for input.

Module Template module module_name (,, ) endmodule Input, output wires reg Program Body

Choosing a Module Name module module_name (,, ) endmodule Input, output wires reg Program Body

Choosing a Module Name module module_name (,, ) endmodule Input, output wires reg Program Body x=D4+D5+D6+D7 y=D2+D3+D6+D7 z=D1+D3+D5+D7 Or all elements of D

Output File D={D0,D1,D2,D3,D4,D5, D6,D7} Y={Y0,Y1,Y2} (least significant to most significant}

Output File The output with a higher subscript has a higher priority than an output with a lower subscript.

Priority Encoding What if more than one input line are high? – Use priority—certain bits are more important than other bits

Priority Encoder Not a Valid State

Karnaugh Map 1

Circuit Implementation