FPGA Based Fuzzy Logic Controller for Semi- Active Suspensions Aws Abu-Khudhair.

Slides:



Advertisements
Similar presentations
© 2003 Xilinx, Inc. All Rights Reserved Course Wrap Up DSP Design Flow.
Advertisements

DSPs Vs General Purpose Microprocessors
Lecture 4 Introduction to Digital Signal Processors (DSPs) Dr. Konstantinos Tatas.
Distributed Arithmetic
Digital Signal Processing and Field Programmable Gate Arrays By: Peter Holko.
Characterization Presentation Neural Network Implementation On FPGA Supervisor: Chen Koren Maria Nemets Maxim Zavodchik
1 Performed By: Khaskin Luba Einhorn Raziel Einhorn Raziel Instructor: Rivkin Ina Spring 2004 Spring 2004 Virtex II-Pro Dynamical Test Application Part.
Behavioral Design Outline –Design Specification –Behavioral Design –Behavioral Specification –Hardware Description Languages –Behavioral Simulation –Behavioral.
Software Defined Radio
Performed by : Rivka Cohen and Sharon Solomon Instructor : Walter Isaschar המעבדה למערכות ספרתיות מהירות High Speed Digital Systems Laboratory הטכניון.
Video on DSP and FPGA John Johansson April 12, 2004.
Chapter 15 Digital Signal Processing
Configurable System-on-Chip: Xilinx EDK
Programmable logic and FPGA
Software Defined Radio on Field Programmable Gate Array Karel L STERCKX Shinawatra University, Thailand.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
Bandpass filter on FPGA Student Vitaly Zakharenko Supervisor Mony Orbach Semester Spring 2007 Duration single semester.
Introduction to FPGA and DSPs Joe College, Chris Doyle, Ann Marie Rynning.
DSP in FPGA.
Using Programmable Logic to Accelerate DSP Functions 1 Using Programmable Logic to Accelerate DSP Functions “An Overview“ Greg Goslin Digital Signal Processing.
GallagherP188/MAPLD20041 Accelerating DSP Algorithms Using FPGAs Sean Gallagher DSP Specialist Xilinx Inc.
1 DSP Implementation on FPGA Ahmed Elhossini ENGG*6090 : Reconfigurable Computing Systems Winter 2006.
© 2010 Altera Corporation—Public DSP Innovations in 28-nm FPGAs Danny Biran Senior VP of Marketing.
Digital Signal Processing on Reconfigurable Computing Systems
© 2011 Xilinx, Inc. All Rights Reserved Intro to System Generator This material exempt per Department of Commerce license exception TSU.
Delevopment Tools Beyond HDL
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
Real time DSP Professors: Eng. Julian Bruno Eng. Mariano Llamedo Soria.
Anthony Gaught Advisors: Dr. In Soo Ahn and Dr. Yufeng Lu Department of Electrical and Computer Engineering Bradley University, Peoria, Illinois May 7,
W.Skulski Phobos Workshop April /2003 Firmware & software development Digital Pulse Processor DDC-8 (Universal Trigger Module) Wojtek Skulski University.
DSPs in Wireless Communication Systems Vishwas Sundaramurthy Electrical and Computer Engineering Department, Rice University, Houston,TX.
Ross Brennan On the Introduction of Reconfigurable Hardware into Computer Architecture Education Ross Brennan
Highest Performance Programmable DSP Solution September 17, 2015.
Computational Technologies for Digital Pulse Compression
COMPUTER SCIENCE &ENGINEERING Compiled code acceleration on FPGAs W. Najjar, B.Buyukkurt, Z.Guo, J. Villareal, J. Cortes, A. Mitra Computer Science & Engineering.
Research on Reconfigurable Computing Using Impulse C Carmen Li Shen Mentor: Dr. Russell Duren February 1, 2008.
Advanced Computer Architecture, CSE 520 Generating FPGA-Accelerated DFT Libraries Chi-Li Yu Nov. 13, 2007.
ASIP Architecture for Future Wireless Systems: Flexibility and Customization Joseph Cavallaro and Predrag Radosavljevic Rice University Center for Multimedia.
Software Defined Radio 長庚電機通訊組 碩一 張晉銓 指導教授 : 黃文傑博士.
Sequential Arithmetic ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
FPGA (Field Programmable Gate Array): CLBs, Slices, and LUTs Each configurable logic block (CLB) in Spartan-6 FPGAs consists of two slices, arranged side-by-side.
SW and HW platforms for development of SDR systems SW: Model-Based Design and SDR HW: Concept of Modular Design and Solutions Fabio Ancona Sundance Italia.
J. Greg Nash ICNC 2014 High-Throughput Programmable Systolic Array FFT Architecture and FPGA Implementations J. Greg.
1 Abstract & Main Goal המעבדה למערכות ספרתיות מהירות High speed digital systems laboratory The focus of this project was the creation of an analyzing device.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
STMIK Jakarta STI&K, Jakarta - September Designing Image Processing Component using FPGA Device By : Sunny Arief Sudiro.
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU DSP Design Flow System Generator for DSP.
EE3A1 Computer Hardware and Digital Design
Rinoy Pazhekattu. Introduction  Most IPs today are designed using component-based design  Each component is its own IP that can be switched out for.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
Academy - Xilinx DSP Page 1 Academy - Xilinx DSP Page 2 Existing DSP Solutions Fixed function DSP devices ASICs Standard DSP processors (only programmable.
Jason Li Jeremy Fowers 1. Speedups and Energy Reductions From Mapping DSP Applications on an Embedded Reconfigurable System Michalis D. Galanis, Gregory.
© 2003 Xilinx, Inc. All Rights Reserved Course Wrap Up DSP Design Flow.
A Brief Introduction to FPGAs
Digital Signal Processor HANYANG UNIVERSITY 학기 Digital Signal Processor 조 성 호 교수님 담당조교 : 임대현
Programmable Logic Devices
Fang Fang James C. Hoe Markus Püschel Smarahara Misra
Embedded Systems Design
Spartan FPGAs مرتضي صاحب الزماني.
FPGAs in AWS and First Use Cases, Kees Vissers
Introduction.
DESIGN AND IMPLEMENTATION OF DIGITAL FILTER
Digital Signal Processors
Introduction to Digital Signal Processors (DSPs)
Course Agenda DSP Design Flow.
A Comparison of Field Programmable Gate
A Digital Signal Prophecy The past, present and future of programmable DSP and the effects on high performance applications Continuing technology enhancements.
The performance requirements for DSP applications continue to grow and the traditional solutions do not adequately address this new challenge Paradigm.
Digital Signal Processors-1
Programmable logic and FPGA
Presentation transcript:

FPGA Based Fuzzy Logic Controller for Semi- Active Suspensions Aws Abu-Khudhair

ENGG Outline Types of Suspension Systems Project Objective

DSP and Reconfigurable Computing Systems Aws Abu-Khudhair

ENGG Outline What is DSP?... Implementation of Various Algorithms… Advantages of FPGA in DSP… Tools available/Mapping DSP onto FPGA…

Aws Abu-KhudhairENGG Resources [1] “A Primer on FPGA-Based DSP Applications”, by Acromag Inc. [2] “Designing Digital Signal Processing with FPGAs”, by Allen Kinast [3] “FPGA Implementations of Fast Fourier Transforms for Real-Time Signal and Image Processing”, by I.S. Uzun, A. Amira and A. Bouridane [4] “Choosing the Right Architecture for Real- Time Signal Processing Designs”, by Leon Adams. [5] “Digital Signal Processors: Applications and Architectures”, by Kurt Keutzer

Aws Abu-KhudhairENGG What is DSP? Concerned with the manipulation of signals for: –Filtering –Transformation –Decoding/Encoding etc. Widely implemented in PDSP

Aws Abu-KhudhairENGG DSP Applications Wireless Communication Audio Applications Image Processing/Medical Imaging Networking Weather forecasting

Aws Abu-KhudhairENGG Various Algorithms Finite Impulse Response (FIR) filters Fast Fourier Transforms (FFT) Infinite Impulse Response (IIR) filters Forward Error Correction (FEC) Modulation/Demodulation

Aws Abu-KhudhairENGG DSP Implementation Comparison TechnologyPerformanceCostPowerFlexibilityDesign Effort GPPLow MediumHighLow PDSPMedium FPGAMed-HighMediumLow-MedHighMedium ASICHigh Low High Most suitable technology??

Aws Abu-KhudhairENGG PDSP vs. FPGA PDSP –Specialized microprocessor based on the Von Neumann arch. –Programmed in C/assembly for performance –Suited for complex math-intensive tasks, with conditional processing. –Limited in performance by the clock rate and number of operations it can perform per clock cycle. e.g. TMS320C6201 has 2 multipliers + 200MHz clock  400M multipliers/second

Aws Abu-KhudhairENGG PDSP vs. FPGA cont. FPGA –Uncommitted gates –Programmed by HDL. –Performance limited by the number of gates and clock rate. –Suited for a wide range of applications

Aws Abu-KhudhairENGG Advantages/Disadvantages of FPGA Advantages –Parallel Processing (Performance) –Flexible Architecture –Price –Power Demand compared to DSP Disadvantages –Higher development cost and increased time to market than DSP –Implementation of conditional processing

Aws Abu-KhudhairENGG Important Building Blocks Add Subtract Multiply Multiply and Add Multiply and Accumulate (MAC) Unit Data Out Reg MAC unit Coefficient

Aws Abu-KhudhairENGG Tap FIR Filter 256 Loops needed to process samples 1 FIR tap per DSP instruction cycle Conventional DSP – Serial processing

Aws Abu-KhudhairENGG Tap FIR Filter cont. All 256 MAC operations in 1 clock cycle FPGA – Parallel processing

Aws Abu-KhudhairENGG FPGA Design Flexibility FPGA – Design Optimization × × × × × + + D Q × × Parallel Semi-ParallelSerial Speed Cost Q = (A x B) + (C x D) + (E x F) + (G x H) Multiply and Add

Aws Abu-KhudhairENGG Performance of PDSP VS. FPGA Feature Conventional PDSP Virtex –II Virtex –II pro Spartan-3 8 x 8 Multiply Accumulate (MAC) 5.7 billion MAC/s 0.5 Tera MAC/s 1 Tera MAC/s 0.27 Tera MAC/s FIR Filter taps, linear phase - 16-bit data/coefficients MSPS 720 MHz 180 MSPS 180 MHz 300 MSPS 300 MHz 140 MSPS 140 MHz Complex FFT point, 16-bit data 8.5  s 720 MHz  s 140 MHz  s 150 MHz  s 140 MHz

Aws Abu-KhudhairENGG Advanced FPGA Architectures with DSP Resources FeaturesVirtex-4Startix IIECP-DSP Clock Management DCM – up to 20 PLL – up to 12 sysCLOCK PLL – up to 4 Embedded Memory BlockRAM up to 10 Mb TriMatrix memory up to 9 Mb sysMEM blocks up to 498 Kb Data Processing Up to 200K CLBs & 512 XtremeDSP Slices Up to 179K LEs, 384 Embedded multipliers & 96 DSP blocks Up to 4096 PFUs, 32 multiplier blocks & 8 DSP blocks Clock SpeedUp to 500 MHz Up to 250 MHz

Aws Abu-KhudhairENGG DSP Design tools C, C++ MATLAB / Simulink HDL (VHDL / Verilog) Xilinx EDK/ISE

Aws Abu-KhudhairENGG MATLAB / Simulink

Aws Abu-KhudhairENGG Simulink

Aws Abu-KhudhairENGG Simulink + ISE

Aws Abu-KhudhairENGG Design flow with FPGA

Aws Abu-KhudhairENGG DSP Design Evolution from HW DSP to FPGA DSP solutions 1.Signal capture and sync. 2.Data exchange methodology 3.off-the shelf hardware 4.Logic Processing 5.Price/Feature 6.Data/Sample rates 7.Debugging 8.Use of IP cores 9.I/O interface 10.Development cycles 11.Deployment cost

Aws Abu-KhudhairENGG Conclusion “The primary reason solutions were so expensive to design, slow to develop and prove, and difficult to re-deploy was that the solutions were fixed in hardware” [1]

Aws Abu-KhudhairENGG Questions? Thank you