HARP: Hard-Wired Routing Pattern FPGAs Cristinel Ababei , Satish Sivaswamy ,Gang Wang , Kia Bazargan , Ryan Kastner , Eli Bozorgzadeh   ECE Dept.

Slides:



Advertisements
Similar presentations
FPGA (Field Programmable Gate Array)
Advertisements

Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
Interconnect Complexity-Aware FPGA Placement Using Rent’s Rule G. Parthasarathy Malgorzata Marek-Sadowska Arindam Mukherjee Amit Singh University of California,
BSPlace: A BLE Swapping technique for placement Minsik Hong George Hwang Hemayamini Kurra Minjun Seo 1.
Ch.7 Layout Design Standard Cell Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
Reducing the Pressure on Routing Resources of FPGAs with Generic Logic Chains Hadi P. Afshar Joint work with: Grace Zgheib, Philip Brisk and Paolo Ienne.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR SRAM-based FPGA n SRAM-based LE –Registers in logic elements –LUT-based logic element.
EECE579: Digital Design Flows
ICS 252 Introduction to Computer Design Routing Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Yan Lin, Fei Li and Lei He EE Department, UCLA
ER UCLA UCLA ICCAD: November 5, 2000 Predictable Routing Ryan Kastner, Elaheh Borzorgzadeh, and Majid Sarrafzadeh ER Group Dept. of Computer Science UCLA.
A High Performance Application Representation for Reconfigurable Systems Wenrui GongGang WangRyan Kastner Department of Electrical and Computer Engineering.
The Spartan 3e FPGA. CS/EE 3710 The Spartan 3e FPGA  What’s inside the chip? How does it implement random logic? What other features can you use?  What.
VLSI Routing. Routing Problem  Given a placement, and a fixed number of metal layers, find a valid pattern of horizontal and vertical wires that connect.
An Efficient Chiplevel Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction Yan Lin 1, Yu Hu 1, Lei He 1 and Vijay Raghunathan 2 1 EE Department,
The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays Steven J.
Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Yan Lin and Lei He EE Department, UCLA Partially supported.
1 Introduction A digital circuit design is just an idea, perhaps drawn on paper We eventually need to implement the circuit on a physical device –How do.
Introduction to FPGA’s FPGA (Field Programmable Gate Array) –ASIC chips provide the highest performance, but can only perform the function they were designed.
Dynamic Power Consumption In Large FPGAs WILLIAM GARCIA, ANDREW MORTELLARO.
ECE 506 Reconfigurable Computing Lecture 7 FPGA Placement.
StaticRoute: A novel router for the dynamic partial reconfiguration of FPGAs Brahim Al Farisi, Karel Bruneel, Dirk Stroobandt 2/9/2013.
ECE 506 Reconfigurable Computing Lecture 8 FPGA Placement.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
CSET 4650 Field Programmable Logic Devices
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 7 Programmable.
Caltech CS184 Winter DeHon 1 CS184a: Computer Architecture (Structure and Organization) Day 15: February 12, 2003 Interconnect 5: Meshes.
ESE Spring DeHon 1 ESE534: Computer Organization Day 19: April 7, 2014 Interconnect 5: Meshes.
Power Reduction for FPGA using Multiple Vdd/Vth
Global Routing.
FPGA Switch Block Design Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
LOPASS: A Low Power Architectural Synthesis for FPGAs with Interconnect Estimation and Optimization Harikrishnan K.C. University of Massachusetts Amherst.
Un/DoPack: Re-Clustering of Large System-on-Chip Designs with Interconnect Variation for Low-Cost FPGAs Marvin Tom* Xilinx Inc.
Abhishek Pandey Reconfigurable Computing ECE 506.
Efficient Multi-Layer Obstacle- Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Shih-Lun Huang, Kai-Chi Hsu,Meng-Xiang Li, Yao-Wen Chang.
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
Bus-Pin-Aware Bus-Driven Floorplanning B. Wu and T. Ho Department of Computer Science and Information Engineering NCKU GLSVLSI 2010.
Hardware Support for Trustworthy Systems Ted Huffmire ACACES 2012 Fiuggi, Italy.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR FPGA Fabric n Elements of an FPGA fabric –Logic element –Placement –Wiring –I/O.
Design Space Exploration for Application Specific FPGAs in System-on-a-Chip Designs Mark Hammerquist, Roman Lysecky Department of Electrical and Computer.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
FPGA Global Routing Architecture Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
1 ER UCLA ISPD: Sonoma County, CA, April, 2001 An Exact Algorithm for Coupling-Free Routing Ryan Kastner, Elaheh Bozorgzadeh,Majid Sarrafzadeh.
TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD,
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
1 Carnegie Mellon University Center for Silicon System Implementation An Architectural Exploration of Via Patterned Gate Arrays Chetan Patel, Anthony Cozzie,
ESS | FPGA for Dummies | | Maurizio Donna FPGA for Dummies Basic FPGA architecture.
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
Directional and Single-Driver Wires in FPGA Interconnect Guy Lemieux Edmund LeeMarvin TomAnthony Yu Dept. of ECE, University of British Columbia Vancouver,
An Improved “Soft” eFPGA Design and Implementation Strategy
Routing Wire Optimization through Generic Synthesis on FPGA Carry Hadi P. Afshar Joint work with: Grace Zgheib, Philip Brisk and Paolo Ienne.
A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
Xiao Patrick Dong Supervisor: Guy Lemieux. Goal: Reduce critical path  shorter period Decrease dynamic power 2.
Oleg Petelin and Vaughn Betz FPL 2016
VLSI Physical Design Automation
Partial Reconfigurable Designs
ECE 565 VLSI Chip Design Styles
2 University of California, Los Angeles
Crosstalk Noise in FPGAs
FPGA Glitch Power Analysis and Reduction
Off-path Leakage Power Aware Routing for SRAM-based FPGAs
CS184a: Computer Architecture (Structure and Organization)
ICS 252 Introduction to Computer Design
Chapter 3b Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Prof. Lei He Electrical Engineering Department.
Presentation transcript:

HARP: Hard-Wired Routing Pattern FPGAs Cristinel Ababei , Satish Sivaswamy ,Gang Wang , Kia Bazargan , Ryan Kastner , Eli Bozorgzadeh   ECE Dept.  ECE Dept.  Comp. Science Dept. Univ. of Minnesota Univ. Of California Univ. of California Minneapolis Santa Barbara Irvine MN – CA – CA – 92697

Introduction  FPGAs Vs ASICs  Lower NRE costs  Shorter time to market Num of units Total cost ASIC FPGA NRE cost Eli:You may want to skip this slide

Problems with FPGAs  Circuit Delay: Delay increases due to programmable switches in the FPGA routing architecture  Area: Configuration cells and programmable resources incur substantial area penalty  Power: Typically not suited for low power applications PerformanceCost ASIC FPGA ASIC FPGA Time to market ASIC FPGA We need to improve

Motivation  Interconnects account for 60% of delay, 75% of area and 80% of power [ Microsystems Tech. MIT ]  Are we providing too much flexibility? S N W E W N S E Island style routing architecture connection block switch block S W N E

Our Goal  Reduce the number of switches by hard-wiring some intersections  Create a mixture of hard wired and flexible switches – HARP switches  Maintain high degree of routability HARP switchbox

Outline  Routing Pattern Analysis  HARP based design flow  HARP distribution  Simulations  Conclusion

Pattern Analysis  Route of a multi-terminal net is a Rectilinear Steiner tree  Pattern is a junction in the RST  Idea is to form patterns inside switchboxes - HARPs Source Sink 1 Sink 2 Sink 3 Sink 4

Pattern Analysis  Statistical Analysis of routing profiles helps guide HARP insertion  Place and route benchmark circuits and determine frequency of various patterns  Single segment and multi-segment architectures are considered

Pattern Types  11 Possible connection patterns inside switch boxes Source Sink2 Sink3 Sink1 Sb A Sb C Sb B - Not connected

Pattern Length  Pattern length:  How much each HARP extends along different directions  Identify valid pattern  Trace along valid directions from the switchbox  Minimum length among all directions is the pattern length Source Sink2 Sink3 Sink1 Sb A Sb C Sb B - Not connected 4 6 length 4

Results of Pattern Analysis  For multi-seg architecture, % of —,| decreases and % of L increases

Results of Pattern Analysis  Percentages of patterns remains almost the same  Architecture seems to have a bigger impact than the routing algorithm

Results of Pattern Analysis Distribution for Horizontal and vertical Patterns percentage Pattern length

Proposed Design Flow Joint Pattern usage Analysis VPR Place and route Statistical Pattern Finder (VPF ) MCNC circuits HARP Architecture design Place & Route on HARP Traditional Architecture definition Compare delay Area & Power HARP-based Routing Architecture Design Flow

Routing Architecture Design with HARPs  HARPs are associated with a length, type and location  Length is related to channel segmentation  Subset switch box and virtex like channel segmentation is used in this work  Challenge is to distribute HARPs inside switchboxes

Routing Architecture Design  New switchbox design includes HARPs besides flexible switches L L T T V H

FPGA Routing Architecture  Routing architecture is represented as a routing graph  Routing segments, IO pins represented as nodes  Switches are represented as edges Wire 2 Wire 1 Wire 3 Wire 4 LUT in 1in 2 out Source Wire 3Wire 4 Wire 2 Wire 1 in 1in 2 Sink

Routing Architecture  Routing graph construction changes with HARPs  Only those edges that form the pattern are present in the routing graph A B C D Normal Switch B A A B D D C C HARP switch C A B D

Routing Architecture  HARPs are not allowed to connect back to back  Dangling branches add capacitance  Avoid forming large trees of patterns LT dangling branch SB A B

HARP distribution  Populate pattern distribution array with symbolic entries for different patterns  Scan FPGA chip and look at candidate locations for HARP insertion  Select a pattern from P and an orientation for the pattern  For HARPs, insert only those edges that form the pattern into the routing graph and save switch info.  All edges are inserted for flexible switches C VLHTLV T HL

Modeling Changes  Capacitance of HARPs include capacitance of all segments forming it  When only some segments of a HARP are used, the rest are invalidated  Area, delay model of VPR is used  Flexible power model developed by Kara Poon et.al. is used CLB SB To sinks Is invalidated CLB out Hard-wired L

Simulation Results Area X 10 6 Delay X % Improvement in Area 22% Improvement in Delay

Simulation Results Channel Width Energy X % Improvement in Energy 16% increase in Channel Width

Routing Architecture  Relax constraint of not allowing HARPs to connect back to back HARP overlap SB 1 SB 2 SB 1 HARP SW SB1 flex. SW

Simulation Results Delay X Area X 10 6 Delay improvement is 24%

Simulation Results Channel width Energy X Improvement in Energy is 32% Channel width increases by 20%

Limitations and Future work  The patterns are distributed randomly inside switchboxes  Switchbox layout is an issue as tiling cannot be applied here  Future work is to develop a switchbox that can be laid out by tiling and replicating SB1 SB2 SB1  SB2

Conclusion  HARP: Explores the possibility of reducing the number of switches by hardwiring certain switches  Delay benefit ~ 24%  Energy savings ~ 30%  Area reduction ~5% Thank You