Presentation is loading. Please wait.

Presentation is loading. Please wait.

TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD,

Similar presentations


Presentation on theme: "TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD,"— Presentation transcript:

1 TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD, USA ISPD 2012

2 Outline Introduction and Motivation Thermal and Power model with micro- channels Formulation and Micro-channel design algorithms Experimental results Conclusions

3 Introduction Conventional air cooling might be not enough for stacked 3D-ICs. –Micro-channel based liquid cooling is developed. Micro-channel heat sinks are embedded below each silicon layer and the coolant fluid is pumped through the micro-channels.

4 Schematics

5 Effectiveness

6 Motivating example Conventionally, straight channels are used. –But TSVs will block the route of straight channels.

7 Introduction (cont.) With bended structure, the micro-channels can reach those TSV-blocked hotspot regions which straight micro-channels cannot reach. Compared to straight channel design, up to 87% pumping power could be saved.

8 Thermal and Power model with micro-channels

9 Micro-channel power consumption

10 Fluid flow rate

11 Modeling Micro-channels with bends Three types of region –Fully developed laminar flow region. –The bend corner. –The developing/turbulent region after the bend.

12 Pressure drop

13 Total pumping power Solve the equation for fluid velocity. Estimate the fluid flow rate f, and thus estimate the thermal resistance and pumping power for this channel. Hence, the pumping power as well as cooling effectiveness of micro-channels with bends is a function of –Number of bends. –Location of channels. –Pressure drop across the channel. Slower velocity means lower cooling efficiency. –More pumping power is needed.

14 Problem to be solved To find micro-channel routes from one side to the other such that –The routes do not intersect. –Avoid TSVs. –Provide sufficient cooling at minimum pumping energy.

15 Represent the routing problem Each grid on the layout is a node. Edge exists if –Two nodes are adjacent. –Non of them is a TSV. Formulate the problem Minimize pumping power I/O nodes Routable nodes TSV constraints Temperature constraints Edge constraints The same edge

16 The grid graph

17 But… This is a very complex problem since –The variables need to be discrete. –The thermal and pumping power models are highly nonlinear. Propose a min-cost flow based method to do the job.

18 Overall flow The flow –Full scale thermal analysis. –Initial micro-channel design –Iterative refinement with thermal analysis

19 Min-cost flow based micro-channel design Initialization –I/O nodes are assigned a supply/demand of one flow unit. –All nodes in the grid graph have a capacity one. –The edges have unlimited capacity and are bi- directional. Assigning the node capacity to be 1 would ensure that all the flow from inlet to outlet follows simple paths (non-intersecting and non-cyclic).

20 Cooling demand

21 Cooling demand (cont.)

22 Cost assignment

23 Cost assignment (cont.)

24

25 Micro-channel refinement Two situation that degrade the cooling quality. –Some channels have several bends. –It may be routed over disproportionately large number of hotspots. Iteratively refine the results

26 How to get the minimum required pumping power Linearly increase the pressure drop until the temperature met the goal.

27 Iterative micro-channel optimization The objective of minimum cost flow formulation did not capture cooling energy and/or number of bends in the channels. Such imbalance (in cooling demand and bend count) leads to increase in the required pressure drop and thereby increasing the pumping energy.

28 Iterative micro-channel optimization (cont.) The basic idea is that all the channels should have similar levels of heat load, length and number of bends. Based on these considerations, the initial design is refined by –Balancing the heat loads among micro- channels. –Reducing unnecessary bends.

29 Iterative micro-channel optimization (cont.) Micro-channel heat load balancing:

30 Iterative micro-channel optimization (cont.) Bend Elimination –Identify all unnecessary bends and replace them with equivalent straight channels or patterns with lesser corners. –Removing corners in the hotspot region might lead to reduction in the cooling performance. –Only remove those corners in the non- hotspot regions which can easily be identified by the thermal analysis.

31 Experimental setting Two-tier stacked 3D-IC with 4-core CPU on each. –Different number of TSVs which are randomly distributed. SPEC 2000 CPU benchmarks –Simulate 20 such benchmarks to get power profile and randomly choose 4 of these profiles to compose a one-tier profile. Combine two of these power profiles to form a two-tier profile.

32 Parameters

33 Experimental results (cont.) Uses 20 micro-channels.

34 Conclusions Micro-channel cooling will be needed in the near future. Proposes a flow which designs TSV- constrained micro-channel infrastructure. Up to 87% pumping power saving compared with the micro-channel structure using straight channels.


Download ppt "TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD,"

Similar presentations


Ads by Google