1 In 0.53 Ga 0.47 As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth Uttam Singisetti PhD Defense Aug 21, 2009 *

Slides:



Advertisements
Similar presentations
by Alexander Glavtchev
Advertisements

6.1 Transistor Operation 6.2 The Junction FET
IEEE Device Research Conference, June , Notre Dame
Metal Oxide Semiconductor Field Effect Transistors
Derek Wright Monday, March 7th, 2005
Improved Migration-Enhanced Epitaxy for Self-Aligned InGaAs Devices Electronic Materials Conference (EMC), Mark A. Wistey University of California,
Spring 2007EE130 Lecture 41, Slide 1 Lecture #41 QUIZ #6 (Friday, May 4) Material of HW#12 & HW#13 (Lectures 33 through 38) –MOS non-idealities, V T adjustment;
High-K Dielectrics The Future of Silicon Transistors
Lateral Asymmetric Channel (LAC) Transistors
Zhang Xintong 11/26/2014 Process technologies for making FinFETs.
High performance 110 nm InGaAs/InP DHBTs in dry-etched in-situ refractory emitter contact technology Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
2007 DRC Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C.
1 InGaAs/InP DHBTs demonstrating simultaneous f t / f max ~ 460/850 GHz in a refractory emitter process Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
Device Research Conference 2011
In-situ and Ex-situ Ohmic Contacts To Heavily Doped p-InGaAs TLM Fabrication by photolithography and liftoff Ir dry etched in SF 6 /Ar with Ni as etch.
1 Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh Mishra ECE and Materials Departments University of California, Santa Barbara, CA 2011 Device Research.
1 Scalable E-mode N-polar GaN MISFET devices and process with self-aligned source/drain regrowth Uttam Singisetti*, Man Hoi Wong, Sansaptak Dasgupta, Nidhi,
NAMBE 2010 Ashish Baraskar, UCSB 1 In-situ Iridium Refractory Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain, Evan Lobisser, Brian Thibeault,
DRC mS/  m In 0.53 Ga 0.47 As MOSFET with 5 nm channel and self-aligned epitaxial raised source/drain Uttam Singisetti*, Mark A. Wistey, Greg.
IPRM 2010 Ashish Baraskar 1 High Doping Effects on in-situ Ohmic Contacts to n-InAs Ashish Baraskar, Vibhor Jain, Uttam Singisetti, Brian Thibeault, Arthur.
Ashish Baraskar 1, Mark A. Wistey 3, Evan Lobisser 1, Vibhor Jain 1, Uttam Singisetti 1, Greg Burek 1, Yong Ju Lee 4, Brian Thibeault 1, Arthur Gossard.
2010 Electronic Materials Conference Ashish Baraskar June 23-25, 2010 – Notre Dame, IN 1 In-situ Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain,
1 InGaAs/InP DHBTs in a planarized, etch-back technology for base contacts Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian J Thibeault, Mark Rodwell.
1 Interface roughness scattering in ultra-thin GaN channels in N-polar enhancement-mode GaN MISFETs Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh.
Optional Reading: Pierret 4; Hu 3
Modern VLSI Design 3e: Chapter 2 Copyright  1998, 2002 Prentice Hall PTR Topics n Derivation of transistor characteristics.
MOS Capacitors MOS capacitors are the basic building blocks of CMOS transistors MOS capacitors distill the basic physics of MOS transistors MOS capacitors.
Introduction to FinFet
Szu-Wei Huang, C-V Lab, GIEE of NTU 1 黃 思 維 F Graduate Institute of Electronics Engineering National Taiwan University Advanced Multi-Gate Technologies.
Limitations of Digital Computation William Trapanese Richard Wong.
Prospects for High-Aspect-Ratio FinFETs in Low-Power Logic Mark Rodwell, Doron Elias University of California, Santa Barbara 3rd Berkeley Symposium on.
2010 IEEE Device Research Conference, June 21-23, Notre Dame, Indiana
Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and
University of California Santa Barbara Yingda Dong Molecular Beam Epitaxy of Low Resistance Polycrystalline P-Type GaSb Y. Dong, D. Scott, Y. Wei, A.C.
CSCE 613: Fundamentals of VLSI Chip Design Instructor: Jason D. Bakos.
Network for Computational Nanotechnology (NCN) UC Berkeley, Univ.of Illinois, Norfolk State, Northwestern, Purdue, UTEP First-Time User Guide to MOSFET.
Short Channel Effects in MOSFET
Lecture 23 OUTLINE The MOSFET (cont’d) Drain-induced effects Source/drain structure CMOS technology Reading: Pierret 19.1,19.2; Hu 6.10, 7.3 Optional Reading:
III-V/Ge Channel Engineering for Future CMOS
Application of Silicon-Germanium in the Fabrication of Ultra-shallow Extension Junctions of Sub-100 nm PMOSFETs P. Ranade, H. Takeuchi, W.-H. Lee, V. Subramanian,
III-V MOSFETs: Scaling Laws, Scaling Limits,Fabrication Processes A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Device Research Conference, 2005 Zach Griffith and Mark Rodwell Department of Electrical and Computer Engineering University of California, Santa Barbara,
12 nm-Gate-Length Ultrathin-Body InGaAs/InAs MOSFETs with 8
2009 Electronic Materials Conference Ashish Baraskar June 24-26, 2009 – University Park, PA 1 High Doping Effects on In-situ and Ex-situ Ohmic Contacts.
Technology Development for InGaAs/InP-channel MOSFETs
Ultrathin InAs-Channel MOSFETs on Si Substrates Cheng-Ying Huang 1, Xinyu Bao 2, Zhiyuan Ye 2, Sanghoon Lee 1, Hanwei Chiang 1, Haoran Li 1, Varistha Chobpattana.
HO #3: ELEN Review MOS TransistorsPage 1S. Saha Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended.
Improved Regrowth of Self-Aligned Ohmic Contacts for III-V FETs North American Molecular Beam Epitaxy Conference (NAMBE), Mark A. Wistey Now at.
III-V CMOS: Device Design & Process Flows , fax ESSDERC Workshop on Germanium and III-V MOS Technology, Sept.
III-V MOS: Planar and Fin Technologies 2014 MRS Spring Meeting, April 23, San Francisco. M.J.W. Rodwell, UCSB III-V MOS: S. Lee, C.-Y. Huang, D. Elias,
Process Technologies For Sub-100-nm InP HBTs & InGaAs MOSFETs M. A. Wistey*, U. Singisetti, G. J. Burek, B. J. Thibeault, A. Baraskar, E. Lobisser, V.
Indium Phosphide and Related Materials
Field Effect Transistor (FET)
Uttam Singisetti. , Mark A. Wistey, Greg J. Burek, Ashish K
ISCS 2008 InGaAs MOSFET with self-aligned Source/Drain by MBE regrowth Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Erdem Arkun, Ashish K. Baraskar,
Fatemeh (Samira) Soltani University of Victoria June 11 th
A Self-Aligned Epitaxial Regrowth Process for Sub-100-nm III-V FETs A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
Contact Resistance Modeling and Analysis of HEMT Devices S. H. Park, H
Lower Limits To Specific Contact Resistivity
Device Research Conference, June 19, 2012
Contact Resistance Modeling in HEMT Devices
High Transconductance Surface Channel In0. 53Ga0
6.3.3 Short Channel Effects When the channel length is small (less than 1m), high field effect must be considered. For Si, a better approximation of field-dependent.
Nanowire Gate-All-Around (GAA) FETs
Optional Reading: Pierret 4; Hu 3
Ultra Low Resistance Ohmic Contacts to InGaAs/InP
Record Extrinsic Transconductance (2. 45 mS/μm at VDS = 0
Beyond Si MOSFETs Part 1.
Presentation transcript:

1 In 0.53 Ga 0.47 As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth Uttam Singisetti PhD Defense Aug 21, 2009 *

2 Outline Motivation: why III-V MOSFETs, target device structure Approach: self-aligned source/drain by MBE regrowth FET and contacts results Conclusion and future work

3 Why III-V MOSFETs Silicon MOSFETs: Gate oxide may limit <16 nm scaling IBM 45nm NMOS Narayan et al, VLSI 2006 * Enoki et al, EDL 1990 Alternative: In 0.53 Ga 0.47 As channel MOSFETs low m* (0.041 m o ) → high injection velocity, v inj (~ 2-3×10 7 cm/s) * → increase drive current, decreased CV/I I d / W g ~ c ox (V g -V th )v inj

4 Key challenge : high-k on III-V Dielectric goals : 0.6 nm EOT, D it 1×10 12 cm -2 eV -1, low leakage Dielectrics & approaches: Atomic layer deposition (ALD) Al 2 O 3 1 Chemical beam deposition ZrO 2 2 Molecular beam epitaxy (MBE) GGO 3 3 R.Hill et al, Device research conference, B. Shin et al, ECSSL, 12, G40, R. E. Herbert et al, APL, 95, , 2009

5 MOSFET scaling * : lateral and vertical Goal : double package density → lateral scaling L g, W g, L s/d * Rodwell, IPRM 2008 double the MOSFET speed keep constant gate control vertical scaling t ox, t qw, x j

6 Drain modulates  b (DIBL) Drain induced barrier lowering (DIBL) Gate modulates  b sub-threshold slope (S) goal >>

7 DIBL : 2-D electrostatics* * Yan et al, TED, 39, 1704, 1992 C g-ch ~  ox W g L g / t ox C d-ch ~  qw W g t qw / L g aspect ratio C g-ch / C d-ch =   =  ox L g 2 / t qw t ox  qw *  = 5-10 for long channel like sub-threshold behavior*

8 MOSFET aspect ratio constant aspect ratio: L g ↓ → t ox ↓, t qw ↓ and x j ↓ aspect ratio active region rectangle → → DIBL

9 Target device structure Target 22 nm gate length Control of short-channel effects  vertical scaling 1 nm EOT: thin gate dielectric, surface-channel device 5 nm quantum well thickness < 5 nm deep source / drain regions

10 increase I d to reduce circuit delay (  d ) ~3 mA/  m target drive current  low access resistance self-aligned, low resisitivity source / drain contacts self-aligned N+ source / drain regions with high doping InGaAs MOSFET: target drive current

11 22 nm InGaAs MOSFET: source resistance IBM High-k Metal gate transistor Image Source:EE Times LgLg L S/D Source access resistance degrades I d and g m IC Package density : L S/D ~ L g =22 nm   c must be low Need low sheet resistance in thin ~5 nm N+ layer Design targets:  c ~1  m 2,  sheet ~ 400 

12 22nm ion implanted InGaAs MOSFET Shallow junctions ( ~ 5 nm), high (~5×10 19 cm -3 ) doping Doping abruptness ( ~ 1 nm/decade) Lateral Straggle ( ~ 5 nm) Deep junctions would lead to degraded short channel effects Key Technological Challenges

13 InGaAs MOSFET with raised source/drain by regrowth 1 Wistey, EMC Baraskar, JVST 2009 Interface HAADF-STEM 1 2 nm InGaAs regrowth Self-aligned source/drain defined by MBE regrowth 1 Self-aligned in-situ Mo contacts 2 Process flow & dimensions selected for 22 nm L g design; present 200 nm gate length

14 Regrown S/D process: key features Vertical S/D doping profile set by MBE no n+ junction extension below channel abrupt on ~ 1 nm scale Self-aligned & low resistivity...source / drain N+ regions...source / drain metal contacts Gate-first gate dielectric formed after MBE growth uncontaminated / undamaged surface

15 Process flow* * Singisetti et al; Physica Status Solidi C, vol. 6, pp. 1394,2009

16 SiO2 W Cr FIB Cross-section Damage free channel Process scalable to sub-100 nm gate lengths Key challenge in S/D process: gate stack etch Requirement: avoid damaging semiconductor surface: Approach: Gate stack with multiple selective etches * * Singisetti et al; Physica Status Solidi C, vol. 6, pp. 1394,2009

17 Key challenge in S/D process: dielectric sidewall Simulation in Atlas, Silvaco t sw n (cm -3 ) R s (  m) 10 nm > 1× nm> 5× nm~ 4× n under sidewall → electrostatic spillover from source and gate spillover

18 Key challenge in S/D process: dielectric sidewall Sidewall must be kept thin: avoid carrier depletion, low leakage Target < 15 nm sidewall in 22 nm L g device nm SiN x thick sidewalls in present devices Pulse doping in the barrier: compensate for carrier depletion from D it

19 Surface cleaning before regrowth 30 min UV Ozone Ex-situ HCl:H 2 O clean In-situ 30 min H clean Interface HAADF-STEM * 2 nm InGaAs regrowth * Wistey, EMC 2008 c(4×2) reconstruction before regrowth → minimum process contamination TEM by Dr. J. Cagnon, Stemmer Group, UCSB MBE growth by Dr. Mark Wistey, device fabrication and characterization by U.Singisetti

20 Self-aligned contacts: height selective etching* Mo InGaAs PR * Burek et al, J.Cryst.Growth 2009 Dummy gate No regrowth

21 1 st generation MOSFETs Extremely low drive current: 2  A/  m Extremely high R on = 0.7 M  m Why is R s so high? R on ~ 0.7 M  m!

22 Source resistance : gap in Regrowth W / Cr / SiO 2 gate SEM Shadowing by gate: No regrowth next to gate Gap region is depleted of electrons High source resistance because of electron depletion in the gap MBE growth by Dr. Mark Wistey, device fabrication and characterization by U.Singisetti W/Cr gate Mo+InGaAs Ti/Au Pad Gap in regrowth SiO 2 cap

23 Migration enhanced epitaxial (MEE) regrowth* *Wistey, EMC 2008 Wistey, ICMBE 2008 High T migration enhanced Epitaxial (MEE) regrowth* regrowth interface gate No Gap 45 o tilt SEM Top of SiO 2 gate No Gap Side of gate High temperature migration enhanced epitaxial regrowth MBE growth by Dr. Mark Wistey, device fabrication and characterization by U.Singisetti

24 MEE source/drain regrowth MOSFET SEMs Cross-section after regrowth, but before Mo deposition Top view of completed device MBE growth by Dr. Mark Wistey, device fabrication and characterization by U.Singisetti

25 Regrown S/D FETs: Images Mo gate post gate

26 MOSFET characteristics Maximum Drive current ( I d ): 0.95 mA/  m Peak transconductance ( g m ): 0.37 mS  m 4.7 nm Al 2 0 3, 1×10 13 cm -2 pulse doping I d and g m below expected values

27 SEM FET source resistance Series resistance estimated by extrapolating R on to zero gate length Source access resistance ~ 500  m

28 Source resistance : regrowth TLMs W / Cr / SiO 2 gate SEM No regrowth SEM TLMs fabricated on the regrowth far away from the gate Regrowth sheet resistance ~ 29  Mo/InGaAs contact resistance ~ 5.5  m 2 (12.6  m) TLM data does not explain 500  m observed FET source resistance FET Regrowth TLMs

29 Source resistance: electron depletion near gate Electron depletion in regrowth shadow region (R 1 ) Electron depletion in the channel under SiN x sidewalls (R 2 ) R1 R2 MBE growth by Dr. Mark Wistey, device fabrication and characterization by U.Singisetti

30 SiO 2 W Cr InGaAs InAlAs Regrowth profile dependance on As flux* Uniform filling with lower As flux multiple InGaAs regrowths with InAlAs marker layers increasing As flux regrowth surface * Wistey et al, EMC 2009 Wistey et al NAMBE 2009 MBE growth by Dr. Mark Wistey, device fabrication and characterization by U.Singisetti uniform filling

31 InAs source/drain regrowth 1 Wistey et al, EMC 2009 Wistey et al NAMBE Bhargava et al, APL 1997 Improved InAs regrowth with low As flux for uniform filling 1 InAs less susceptible to electron depletion: Fermi pinning above E c 2 MBE growth by Ashish Baraskar, device fabrication and characterization by U.Singisetti InAs regrowth Gate InGaAs 100 nm

32 Regrown InAs S/D FETs Mo S/D metal with N+ InAs underneath side of gate top of gate gate Mo S/D metal with N+ InAs underneath 4.7 nm Al 2 0 3, 5×10 12 cm -2 pulse doping MBE growth by Dr. Mark Wistey, device fabrication and characterization by U.Singisetti

33 InAs S/D E-FET DC Characteristics 4.7 nm Al 2 0 3, InAs S/D E-FET

34 InAs S/D E-FET DC characteristics 4.7 nm Al 2 0 3, InAs S/D E-FET R on = 600  m 0.85 mA/  m peak I d, ~0.36 mS/  m peak g m * Singisetti et al, IEEE EDL, submitted

35 Sub-threshold characteristics I on / I off ~ 10 4 :1 High sub-threshold swing Hysteresis

36 Source-drain access resistance* R on = 600  -  m for L g =0.2  m so R s < 300  m R s is too small to explain observed g m or I d *Wistey et al, NAMBE 2009

37 Source access resistance Mo/InAs contact resistance : 3.5  m 2 (8.5  m) InAs sheet resistance: 20  Electron depletion at Al 2 O 3 /InGaAs interface under sidewall MBE growth by Ashish Baraskar, device fabrication and characterization by U.Singisetti InAs regrowth Gate InGaAs 100 nm

38 Key challenge in S/D process: dielectric sidewall Simulation in Atlas, Silvaco t sw n (cm -3 ) R s (  m) 10 nm > 1× nm> 5× nm~ 4× n under sidewall → electrostatic spillover from source and gate spillover

39 Gate dielectric D it D it from sub-threshold swing = 2 ×10 13 cm -2 eV -1 GS

40 Threshold voltaget (V t ) Expected V t = V, actual V t = 0.6 V D it = ∆ V t / qC ox = 1.5 ×10 13 cm -2 eV -1 V g = 0 V

41 Higher S in 200 nm device: DIBL ? higher sub-threshold swing for 200 nm device → is it DIBL L g / t qw = 200/5 = 40→ clearly not DIBL

42 InAs InAlAs Electron confinement under source/drain InGaAs Insufficient electron confinement under source/drain Need a AlAsSb barrier or higher p + doping in buffer EfEf

43 Self-aligned source/drain for thin channels ( ~ 5nm ) → scalable InAs Source/Drain E-FETs: R s < 300  m, peak I d = 0.85 mA/  m, peak g m = 0.36 mS/  m Device g m, I d not limited by R s Next: scale to ~50 nm L g scale sidewalls gate dielectric quality Conclusion

44 Acknowledgements Prof. Mark Rodwell, Prof. Umesh Mishra, Prof. Art Gossard, Prof. Chris Palmstrøm Dr. Mark Wistey, Dr. Seth Bank, Dr Yong-ju Lee Stemmer group: Dr Joël Cagnon McIntyre group (Stanford) : Eunji, Byungha Yuan Taur group (UCSD) : Yu Yuan Rodwell group members: Navin, Colin, Zach, Erik, Munkyo, Greg, Vibhor, Evan, Ashish Mishra group, photonics group clean-room buddies Nanofab staff: Jack, Brian, Don, Bill, Mike, Bob, Adam, Tony, Aidan, Luis MRL staff: Dr Tom Mates Friends and family