BIST vs. ATPG.

Slides:



Advertisements
Similar presentations
Introduction to DFT Alexander Gnusin.
Advertisements

18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Digital Integrated Circuits© Prentice Hall 1995 Design Methodologies Design for Test.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
Efficient Compression and Application of Deterministic Patterns in a Logic BIST Architecture Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 21alt1 Lecture 21alt BIST -- Built-In Self-Test (Alternative to Lectures 25, 26 and 27) n Definition.
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 101 Design for Testability Theory and Practice Lecture 10: DFT and Scan n Definitions n Ad-hoc methods.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
Logic Simulation 4 Outline –Fault Simulation –Fault Models –Parallel Fault Simulation –Concurrent Fault Simulation Goal –Understand fault simulation problem.
X-Compaction Itamar Feldman. Before we begin… Let’s talk about some DFT history: Design For Testability (DFT) has been around since the 1960s. The technology.
1 Lecture 23 Design for Testability (DFT): Full-Scan n Definition n Ad-hoc methods n Scan design Design rules Scan register Scan flip-flops Scan test sequences.
Design for Testability Theory and Practice Lecture 11: BIST
Embedded Hardware and Software Self-Testing Methodologies for Processor Cores Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, and Ying Chen Design Automation.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 121 Design for Testability Theory and Practice Lecture 12: System Diagnosis n Definition n Functional.
Vishwani D. Agrawal James J. Danaher Professor
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
ELEN 468 Lecture 251 ELEN 468 Advanced Logic Design Lecture 25 Built-in Self Test.
TOPIC - BIST architectures I
Class Design Project - Test Generation 1 Class Design Project Test Generation Hillary Grimes III ELEC Project Presentation April 26, 2007.
An Embedded Core DFT Scheme to Obtain Highly Compressed Test Sets Abhijit Jas, Kartik Mohanram, and Nur A. Touba Eighth Asian Test Symposium, (ATS.
DFT Technologies for High- Quality Low-Cost Manufacturing Tests Yuval Snir JTAG 2006 Yuval Snir JTAG 2006.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
Software-Based Online Detection of Hardware Defects: Mechanisms, Architectural Support, and Evaluation Kypros Constantinides University of Michigan Onur.
Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation Janusz Rajski Nilanjan Mukherjee Mentor Graphics Corporation.
Testimise projekteerimine: Labor 2 BIST Optimization
ON LINE TEST GENERATION AND ANALYSIS R. Šeinauskas Kaunas University of Technology LITHUANIA.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Design for Testability (DFT) - 2.
Mugil Vannan H ST Microelectronics India Pvt. Ltd, Noida
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
ATPG - test pattern generation process 1. Target faults 2. Generate test cube: 1-5% 3. Random fill: 99-95% 4. Stimuli on ATE 5. Response on ATE.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
LEONARDO INSIGHT II / TAP-MM ASTEP - An introduction to Built-In Self-Test (BIST) © J. M. Martins Ferreira - University of Porto (FEUP / DEEC)1 An Introduction.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Testability and architecture. Design methodologies. Multiprocessor system-on-chip.
Testing of integrated circuits and design for testability J. Christiansen CERN - EP/MIC
J. Christiansen, CERN - EP/MIC
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Logic BIST Logic BIST.
1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Test and Test Equipment Joshua Lottich CMPE /23/05.
Page 1EL/CCUT T.-C. Huang May 2004 TCH CCUT Introduction to IC Test Tsung-Chu Huang ( 黃宗柱 ) Department of Electronic Eng. Chong Chou Institute of Tech.
1 Compacting Test Vector Sets via Strategic Use of Implications Kundan Nepal Electrical Engineering Bucknell University Lewisburg, PA Nuno Alves, Jennifer.
Feng-Xiang Huang Test Symposium(ETS), th IEEE European Ko, Ho Fai; Nicolici, Nicola; Department of Electrical and Computer Engineering,
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
Mixed-Mode BIST Based on Column Matching Petr Fišer.
Technical University Tallinn, ESTONIA Copyright by Raimund Ubar 1 Raimund Ubar N.Mazurova, J.Smahtina, E.Orasson, J.Raik Tallinn Technical University.
Nanometer Technology © Copyright 2002, Fairview Ridge Partners, LLC All Rights Reserved Nanometer Technology AKI Expert Session.
Chapter 11 System-Level Verification Issues. The Importance of Verification Verifying at the system level is the last opportunity to find errors before.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
TITLE : types of BIST MODULE 5.1 BIST basics
July 10, th VLSI Design and Test Symposium1 BIST / Test-Decompressor Design using Combinational Test Spectrum Nitin Yogi Vishwani D. Agrawal Auburn.
Lecture 5: Design for Testability. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 12: Design for Testability2 Outline  Testing –Logic Verification –Silicon.
VLSI Testing Lecture 14: System Diagnosis
Hardware Testing and Designing for Testability
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 3)
Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Pattern Compression for Multiple Fault Models
Testing in the Fourth Dimension
MS Thesis Defense Presentation by Mustafa Imran Ali COE Department
Lecture 26 Logic BIST Architectures
Test Data Compression for Scan-Based Testing
Presentation transcript:

BIST vs. ATPG

Introduction ATPG – Automatic Test Pattern Generation BIST – Built-In Self Test

Common scan architecture logic test methodologies are based on a full scan infrastructure all storage elements are connected together Test patterns are pre-generated using a gate-level representation of the design netlist

Common scan architecture Patterns are stored in tester memory and scanned into the circuit using parallel scan chains

Common scan architecture Applying a test pattern consists of: scanning in the pattern data applying one or more functional clock cycles scanning out the captured response data

Common scan architecture The number of scan chains is limited by: Chip I/O Tester channels On-chip routing congestion

Common scan architecture BIST improves the scan infrastructure by adding: An on-chip pattern generator Feeds the scan chains An on-chip result compressor compresses the scanned out responses of all patterns into a final signature

Where similarity ends… ATPG – uses an on-chip pattern generator as a decompressor BIST – uses an on-chip pseudo-random pattern generator (PRPG)

Breaking the myths!!!

ATPG achieves better fault coverage than logic BIST Myth#1: ATPG achieves better fault coverage than logic BIST

Why is that? BIST uses random test patterns: Lower stuck@ faults coverage Designs will require a large number of random patterns

Solution Designs can be modified by inserting scan-accessed test points to increase their random pattern testability

Breaking the myth Empirical evidence shows that when 1 test point is added per 1,000 gates (1% overhead): stuck@ fault coverages achieved with deterministic ATPG can be obtained with a reasonable number of random patterns (50K to 100K range)

Breaking the myth Chip quality really depends on physical defect coverage True defect coverage is proportional to the number of times each modeled fault is detected Large number of random patterns results in significantly greater defect coverage than that achieved by the limited number of deterministic patterns

ATPG approaches easily scale with growing chip sizes Myth#2: ATPG approaches easily scale with growing chip sizes

What is the problem? ATPG tools typically operate on the fully flattened netlist ever-growing CPU requirements growing test pattern volumes significant impact on the design cycle

What is the problem? Cores can be dealt with separately by fully isolating them with scan cells The resulting overhead is typically prohibitive pattern volume reductions represent only in a one-time improvement

Solution Hierarchical cores are made self-testable independently of other cores Some patented techniques allow isolation of the core during test using little or no overhead

Solution Design changes in one core do not affect the logic BIST capabilities inserted in other cores A core with logic BIST can be reused “as-is” without any modifications to the existing logic BIST capabilities

More advantages BIST does not require the storage of any test pattern data or require external control of clocks it can be reused during board and system level testing. reduces board and system manufacturing test development costs helps time-to-market through faster hardware debug When a chip fails functionally in the system, it can be debugged more reliably by running BIST

More advantages BIST can also be used for dynamic burn-in Parallel execution of logic BIST on all devices on a burn-in board can be achieved using only the low-speed IEEE 1149.1 interface for board-level access. Pre burn-in tests can even be applied using the burn-in board, eliminating a test insertion

Conclusion ATPG continues to try to provide techniques to meet the testing challenges of complex designs BIST capabilities originally developed to address these high-end design test has become field hardened and field proven solutions