Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego

Slides:



Advertisements
Similar presentations
Logic Gate Delay Modeling -1 Bishnu Prasad Das Research Scholar CEDT, IISc, Bangalore
Advertisements

Topics Electrical properties of static combinational gates:
EE 201A Modeling and Optimization for VLSI LayoutJeff Wong and Dan Vasquez EE 201A Noise Modeling Jeff Wong and Dan Vasquez Electrical Engineering Department.
Non-Gaussian Statistical Timing Analysis Using Second Order Polynomial Fitting Lerong Cheng 1, Jinjun Xiong 2, and Lei He 1 1 EE Department, UCLA *2 IBM.
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Algorithm for Fast Statistical Timing Analysis Jakob Salzmann, Frank Sill, Dirk Timmermann SOC 2007, Nov ‘07, Tampere, Finland Institute of Applied Microelectronics.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Stochastic Analog Circuit Behavior Modeling by Point Estimation Method
Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer.
Logic Gate Delay Modeling -III
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources Lerong Cheng 1, Jinjun Xiong 2, and Prof. Lei He 1 1 EE Department, UCLA.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 22, 2009 Statistical Static Timing Analysis.
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!
Input-Specific Dynamic Power Optimization for VLSI Circuits Fei Hu Intel Corp. Folsom, CA 95630, USA Vishwani D. Agrawal Department of ECE Auburn University,
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 14: March 19, 2008 Statistical Static Timing Analysis.
Constructing Current-Based Gate Models Based on Existing Timing Library Andrew Kahng, Bao Liu, Xu Xu UC San Diego
Lecture #24 Gates to circuits
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu (Kevin) Cao 1, Chenming Hu 1, Xuejue Huang 1, Andrew.
A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield A. B. Kahng, B. Liu, X. Xu, J. Hu* and G. Venkataraman*
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
-1- UC San Diego / VLSI CAD Laboratory A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo.
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
A comparison between different logic synthesis techniques from the digital switching noise viewpoint G. Boselli, V. Ciriani, V. Liberali G. Trucco Dept.
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Static Timing Analysis and Gate Sizing.
Chapter 07 Electronic Analysis of CMOS Logic Gates
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
Accelerating Statistical Static Timing Analysis Using Graphics Processing Units Kanupriya Gulati and Sunil P. Khatri Department of ECE, Texas A&M University,
1 Interconnect and Packaging Lecture 8: Clock Meshes and Shunts Chung-Kuan Cheng UC San Diego.
Optimal digital circuit design Mohammad Sharifkhani.
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 24: April 22, 2015 Statistical Static Timing Analysis.
STA with Variation 1. 2 Corner Analysis PRCA (Process Corner Analysis):  Takes 1.nominal values of process parameters 2.and a delta for each parameter.
Crosstalk Noise Optimization by Post-Layout Transistor Sizing Masanori Hashimoto Masao Takahashi Hidetoshi Onodera Dept. CCE, Kyoto University.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect. n Switch logic.
On the Assumption of Normality in Statistical Static Timing Analysis Halim Damerdji, Ali Dasdan, Santanu Kolay February 28, 2005 PrimeTime Synopsys, Inc.
1 Tau 2002 Explicit Computation of Performance as a Function of Process Parameters Lou Scheffer.
QuickYield: An Efficient Global-Search Based Parametric Yield Estimation with Performance Constraints Fang Gong 1, Hao Yu 2, Yiyu Shi 1, Daesoo Kim 1,
EE 4271 VLSI Design, Fall 2013 Static Timing Analysis and Gate Sizing Optimization.
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Chapter 6 Copyright © 2004 The McGraw-Hill Companies, Inc. All rights reserved. High-Speed CMOS Logic Design.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
1 Clarinet: A noise analysis tool for deep submicron design Rafi Levy Gabi Bracha, David Blaauw, Aurobindo Dasgupta, Amir Grinshpon,
הטכניון - מ.ט.ל. הפקולטה להנדסת חשמל - אביב תשס"ה
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
Copyright © 2004 The McGraw-Hill Companies, Inc. All rights reserved.
Static Timing Analysis and Gate Sizing Optimization
Static Timing Analysis and Gate Sizing Optimization
Chapter 2 Interconnect Analysis Delay Modeling
Chapter 4b Statistical Static Timing Analysis: SSTA
On the Improvement of Statistical Timing Analysis
Chapter 4C Statistical Static Timing Analysis: SSTA
Presentation transcript:

Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego

Outline SSTA Background Problem formulation Method: theory and implementation Experiment Summary

Background: Variability Increased variability in nanometer VLSI designs  Process: OPC  Lgate CMP  thickness Doping  Vth  Environment: Supply voltage  transistor performance Temperature  carrier mobility  and Vth These (PVT) variations result in circuit performance variation p1p1 p2p2 PVT Parameter Distributions d1d1 d2d2 Gate/net Delay Distribution

Background: Timing Analysis Min/Max-based  Inter-die variation  Pessimistic Corner-based  Intra-die variation  Computational expensive Statistical  pdf for delays  Reports timing yield CLK DQ combinational logic FF max min

Background: SSTA Represent signal arrival times as random variables  Block-based Each timing node has an arrival time distribution Static worst case analysis Efficient for circuit optimization  Path-based Each timing node for each path has an arrival time distribution Corner-based or Monte Carlo analysis Accurate for signoff analysis B C A D I1I1 gate delay pdfs Arrival time pdf

Background: SSTA Correlations Delays and signal arrival times are random variables Correlations come from  Spatial inter-chip, intra-chip, random variations  Re-convergent fanout Multiple-input switching Cross-coupling …… corr(g1, g2) g1 g2 g3 corr(g1, g3) corr(g2, g3)

Multiple-Input Switching Simultaneous signal switching at multiple inputs of a gate leads to up to 20%(26%) gate delay mean (standard deviation) mismatch [Agarwal-Dartu- Blaauw-DAC’04] Gate delay Probability

Crosstalk Aggressor Alignment We consider an equally significant source of uncertainty in SSTA, which is crosstalk aggressor alignment induced gate delay variation MIS CAA

Problem Formulation Given  Coupled interconnect system  Gate input signal arrival time distributions Find  Gate output signal arrival time distributions We present signal arrival times in polynomial functions of normal distribution random variables E.g., for first order approximation of two input signal arrival times, their skew (crosstalk alignment) is given in normal distribution random variables with correlation taken into account x i = f i (r 1, r 2, …) r i ~ N(  i, 3  i ) x 1 ~ N(  1, 3  1 ) x 2 ~ N(  2, 3  2 ) x’=x 2 -x 1 ~ N(  ’=  2 -  1, 3  ’=3(   2 2 +corr) 1/2 )

Outline SSTA Background Problem formulation Method: theory and implementation Experiment Summary

Driver Gate Delay as a Function of Crosstalk Alignment 16X inverters driving 1000um global interconnects in 70nm technology

Driver Gate Delay as a Function of Crosstalk Alignment More complex than the timing window concept Can be computed by simulation or delay calculation Approximated in a piece-wise quadratic function:

Closed-Form Driver Gate Delay Distribution For a normal distribution crosstalk alignment x’ Transform probabilities via inverse functions

Closed-Form Driver Gate Output Signal Arrival Time Distribution For a normal distribution crosstalk alignment x’ Consider correlation via conditional probabilities

Statistical Gate Delay Calculation for Coupled Interconnect Load Input: Coupled interconnects gate input signal arrival time distributions process variations Output: Gate output signal arrival time distributions 1.Driver Gate delay calculation for sampled crosstalk alignments 2.Approximate driver gate delay in a piece-wise quadratic function of crosstalk alignment 3.Compute output signal arrival time distribution by closed-form formulas 4.Combine with other process variations

Extension to Multiple Aggressors In general, superposition does not apply for multiple aggressors because of driver output resistance variation We need to  Extract empirical functional relationships between driver gate delay and aggressors  Compute gate output signal arrival time distributions Superposition applies for long interconnects with large drivers of small output resistance

Extension to Multiple Variations Correlated variation sources  Reduce the number of variations, via PCA  Extract empirical functional relationships between gate delay and variations  Compute gate output signal arrival time distributions Independent variation sources  Applying Superposition for improved efficiency  total =  i  i  2 total =  i   i

Implementation STA-SI goes through an iteration of timing window refinement for reduced pessimism of worst case analysis SSTA-SI goes through an iteration of signal arrival time pdf refinement with reduced deviations

Runtime Analysis Driver gate delay calculation for N sampled crosstalk alignment takes O(N) time, where N = min(t 3 -t 0, 6  of crosstalk alignment) / time_step Fitting takes O(N) time Computing output signal arrival time distribution takes constant time, e.g., updating in an iterative SSTA

Outline SSTA Background Problem formulation Method: theory and implementation Experiment Summary

Experiment Setting 16X inverter drivers Coupled global interconnects in 70nm Berkeley Predictive Technology Model Extracted coupled interconnects of 451 resistors and 1637 ground and coupling capacitors in 130nm industry designs 70nmL (um)W(um)S(um)T(um) global intermediate local

Driver Gate Delay Distribution For a pair of 1000um coupled global interconnects in 70nm BPTM technology, with 10, 20, 50 and 100ps input signal transition time, and crosstalk alignment in a normal distribution N(0, 10ps)

Driver Gate Delay Standard Deviation due to Varied Gate Length For a pair of 1000um coupled global interconnects in 70nm BPTM technology, with 10, 20, 50 and 100ps input signal transition time, and wire width variation in a normal distribution N(0, 15%)

Driver Gate Delay with Gate Length and Crosstalk Alignment Variations 16X inverter drivers of coupled 70  m BPTM global interconnects 1.No variation 2.Gate length variation 3.Crosstalk alignment variation 4.Superposition results of both variations 5.SPICE results of grounding coupling capacitors and both variations 6.Our results of both variations       %65-4%54321 ’’ Tr

Driver Gate Delay with Gate Length and Crosstalk Alignment Variations Superposition of gate length and crosstalk alignment variations matches within 2.20% of SPICE Monte Carlo results Assuming no crosstalk alignment (e.g., grounding all coupling capacitors) results in 159.4%(147.4%) mismatch in mean (standard deviation) of driver gate delay variation

Driver Gate Output Signal Arrival Time Distribution For a pair of 1000  m coupled global interconnects in 70nm BPTM technology, with 10, 20, 50 and 100ps input signal transition time, and crosstalk alignment in a normal distribution N(0, 10ps)

Driver Gate Output Signal Arrival Time Distribution % diffModelSPICEModelSPICE –     3  Tr(ps) OutputDelay Test case 2: coupled interconnects in a 130nm industry design Test case 1: 1000  m interconnects of 70nm BPTM technology % diffModelSPICEModelSPICE –      3  Tr(ps) OutputDelay

Outline SSTA Background Problem formulation Method: theory and implementation Experiment Summary

SSTA must consider SI effects! We take crosstalk aggressor alignment into account in statistical gate delay calculation  We approximate driver gate delay in a piecewise quadratic function of crosstalk aggressor alignment  We derive closed-form formulas for driver gate delay and output signal arrival time distribution for given input signal arrival times in polynomial functions of normal distributions  Our experiments show that neglecting crosstalk alignment effect could lead to up to 159.4% (147.4%) mismatch of driver gate delay means (standard deviations), while our method gives output signal arrival time means (standard deviations) within 2.57% (3. 86%) of SPICE results

Thank you !