Ultra Low Power CMOS Design Ph.D. Dissertation Proposal Kyungseok Kim ECE Auburn Univ. Chair: Prof. Vishwani D. Agrawal Committee Members: Prof. Victor.

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

Dual-Threshold Voltage Design of Sub-threshold Circuits
October 2nd Karthik’s MS Defense DVF4: A Dual Vth Feedback Type 4-Transistor Level Converter Master’s Defense Karthik Naishathrala Jayaraman Department.
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
1 Dual Threshold Voltage Domino Logic Synthesis for High Performance with Noise and Power Constraint Seong-Ook Jung, Ki-Wook Kim and Sung-Mo (Steve) Kang.
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Dual Voltage Design for Minimum Energy Using Gate Slack Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849, USA IEEE ICIT-SSST.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Introduction to CMOS VLSI Design MOS Behavior in DSM.
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
8/29/06 and 8/31/06 ELEC / Lecture 3 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (ELEC 5970/6970) Low Voltage.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
Design of Variable Input Delay Gates for Low Dynamic Power Circuits
Dec. 1, 2005ELEC Class Presentation1 Impact of Pass-Transistor Logic (PTL) on Power, Delay and Area Kalyana R Kantipudi ECE Department Auburn.
August 12, 2005Uppalapati et al.: VDAT'051 Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells 9th VLSI Design & Test Symposium.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 7 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Gate-Level Power Optimization Vishwani.
A Tutorial on Battery Simulation - Matching Power Source to Electronic System Manish Kulkarni and Vishwani D. Agrawal Auburn University Auburn, AL 36849,
9/08/05ELEC / Lecture 51 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Dec. 6, 2005ELEC Glitch Power1 Low power design: Insert delays to eliminate glitches Yijing Chen Dec.6, 2005 Auburn university.
Minimum Dynamic Power Design Using Variable Input Delay CMOS Logic
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 12 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 5 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Low Voltage Low-Power Devices Vishwani.
Nov. 8, 001Low-Power Design Digital Circuit Design for Minimum Transient Energy Vishwani D. Agrawal Circuits and Systems Research Lab, Agere Systems (Bell.
9/20/05ELEC / Lecture 81 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
Fall 2006, Oct. 5 ELEC / Lecture 8 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Glitch-Free ASICs and Custom.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
Fall 2006, Sep. 26, Oct. 3 ELEC / Lecture 7 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Dynamic Power:
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Introduction to CMOS VLSI Design Nonideal Transistors.
Copyright Agrawal & Srivaths, 2007 Low-Power Design and Test, Lecture 5 1 Low-Power Design and Test Gate-Level Power Optimization Vishwani D. Agrawal Auburn.
9/27/05ELEC / Lecture 91 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
© Digital Integrated Circuits 2nd Devices VLSI Devices  Intuitive understanding of device operation  Fundamental analytic models  Manual Models  Spice.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Power Reduction for FPGA using Multiple Vdd/Vth
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Low Power via Sub-Threshold Circuits Mike Pridgen.
Sub-threshold Design of Ultra Low Power CMOS Circuits Students: Dmitry Vaysman Alexander Gertsman Supervisors: Prof. Natan Kopeika Prof. Orly Yadid-Pecht.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
HW (Also, use google scholar to find one or two well cited papers on symmetric models of MOSFET, and quickly study them.)
Basics of Energy & Power Dissipation
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Sp09 CMPEN 411 L14 S.1 CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 14: Designing for Low Power [Adapted from Rabaey’s Digital Integrated Circuits,
Patricia Gonzalez Divya Akella VLSI Class Project.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
A Class presentation for VLSI course by : Maryam Homayouni
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
1 Very Low Voltage Operation of Benchmark Circuit c6288 Presented By: - Murali Dharan.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
Yanqing Zhang University of Virginia On Clock Network Design for Sub- threshold Circuitry 1.
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
Circuit Design Techniques for Low Power DSPs
Characterization of C2MOS Flip-Flop in Sub-Threshold Region
Presentation transcript:

Ultra Low Power CMOS Design Ph.D. Dissertation Proposal Kyungseok Kim ECE Auburn Univ. Chair: Prof. Vishwani D. Agrawal Committee Members: Prof. Victor P. Nelson Prof. Fa F. Dai May 11, 2010

2 Outline Study of Subthreshold Voltage Operation Dual Voltage Assignment Algorithm (MILP) Current Progress & Future Work Conclusion

3 Energy Constrained Systems Low activity rates Relaxed speed requirements Long battery lifetimes ( more than 1 year ) Energy harvesting from the environment Examples: Micro-sensor networks, Pacemakers, RFID tags, and Portable devices Energy Harvesting Tech.Power Density ( µW/cm 2 ) Vibration - electromagnetic Vibration - piezoelectric Vibration - electrostatic Thermoelectric ( 5°C difference) Solar - direct sunlight Solar - indoor A. Wang, B. H. Calhoun, and A. P. Chandrakasan, Sub-Threshold Design for Ultra Low-Power Systems. Springer, 2006.

4 Subthreshold Operation (weak inversion) Eric A. Vittoz (1967) discovered that the transfer characteristics of MOS device were exponential across more than 5 decades of drain current. Measurement of a MOS transistor at very low current (Vittoz’s notebook) E. A. Vittoz, “The Electronic Watch and Low-Power Circuits,” IEEE Solid-State Circuits Newsletter, vol. 13, no. 3, pp. 7–23, S D G V gs V ds = V dd

5 Minimum Operating Voltage Swanson and Meindl (1972) examined the voltage transfer characteristic (VTC) of an inverter: Minimum Voltage = 8kT/q or 200 mV at 300K ( A ring oscillator worked at 100 mV soon thereafter.) Ideal limit of the lowest possible supply voltage (2001) : Vdd = 2kT/q ≈ 57 mV at 300K R. M. Swanson and J. D. Meindl, “Ion-Implanted Complementary MOS Transistors in Low- Voltage Circuits,” IEEE JSSC, vol. 7, no. 2, April A. Bryant, J. Brown, P. Cottrell, M. Ketchen, J. Ellis-Monaghan, E. Nowak, I. Div, and E. Junction, “Low-power CMOS at Vdd= 4kT/q,” in Device Research Conference, 2001, pp. 22–23.

6 VTC of Inverter in PTM 90nm CMOS Vth_nmos = 0.29 V, Vth_pmos = 0.21 V Nominal V DD = 1.2 V, Temp. = 300K Inverter size: W P = 5.5*L W n = 2.4*L L = 90nm SPICE simulation ( Predictive Technology Model, PTM ) gain > 1 Functional Non-functional

7 Dynamic Voltage Scaling (DVS) in subthreshold region according to operating scenarios ( 128 to 1024 FFT length and 8 or 16 bit precision) Tech. : Standard 018 µm 6M CMOS (V th = 450 mV) Voltage scaling: 180 mV to 900 mV Operating Freq. : 164 Hz to 6 MHz Optimal operating point for 1024 and 16b: V dd,opt = 350 mV Freq. = 9.6 kHz E opt = 155 nJ Above-threshold low power FFT processor consumes 3.4 µJ in 0.7 µm process with 1.1 V 180 mV FFT Processor A. Wang and A. Chandrakasan, “A 180mV FFT Processor Using Subthreshold Circuit Techniques,” in IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2004, pp. 292–529.

8 Minimum Energy Operating Point Lowest energy per cycle E opt = Minimum E tot = E dyn + E leak Dynamic energy: E dyn Leakage energy : E leak E opt normally occurs in subthreshold region if speed is not constrained Speed critical operation: V dd can be higher, even above-threshold

9 Dynamic Energy : E dyn = α 0→1 CV dd 2 Quadratic reduction with supply voltage V dd Activity factor α affects E dyn Leakage Energy : E leak = P leak t d = I leak V dd t d I leak is composed of subthreshod leakage, gate leakage and pn junction reverse-bias current ….. Normally smaller than dynamic energy V dd > V th

10 A. Wang, B. H. Calhoun, and A. P. Chandrakasan, Sub-Threshold Design for Ultra Low- Power Systems. Springer, V dd < V th Dynamic Energy : E dyn scaled down as V dd 2 is comparable to E leak Leakage Energy : Assume I leak ≈ I sub,off t d exponentially increases by scaling V dd down E leak is independent of V th

11 I leak and t d I o : Drain current at V gs =V th S : Subthreshold swing η : Drain-induced barrier lowering ( DIBL ) V T : Thermal voltage (=kT/q) I leak = I sub,off = I sub (V gs = 0) DIBL reduces I leak with scaling V dd K : Fitting parameter C L : Load capacitance I sub,on = I sub (V gs = V dd < V th )

12 Normalized I leak and t d for INV (SPICE Simulation) E leak is mainly dominated by t d in subthreshold region.

13 Total Energy per Cycle ( E tot ) 8-bit Ripple Carry Adder (PTM 90nm CMOS) with α=0.21 V dd,opt = 0.17 V E tot,min = 3.29 fJ (1.89 MHz)

14 Threshold Voltage Vs. Total Energy Lowering V th does not change E opt in the subthreshold region B.H. Calhoun, A. Wang, and A. Chandrakasan, “Modeling and Sizing for Minimum Energy Operation in Subthreshold Circuits,” in IEEE Journal of Solid-State Circuits, Sept

15 Energy Vs. Performance Small increase of E tot in subthreshold region exponentially improves circuit speed 59.1X 14.7X 24.5X 2.7X Delay and energy per cycle of 8-bit ripple carry adder ( SPICE Simulation )

16 Outline Study of Subthreshold Voltage Operation Dual Voltage Assignment Algorithm (MILP) Current Progress & Future Work Conclusion

17 Motivation Utilizing time slack for low power design is common in above-threshold, but not has been done in subthreshold operation Small increase in E tot can significantly improves circuit speed Two supply voltages are acceptable in today’s designs

18 Dual-V dd Design Use two supply voltages V DDH and V DDL Apply V DDH to gates on critical paths to maintain performance (speed), while V DDL to gates on non-critical paths to reduce power Use level converters at interfaces of V DDL cells feeding into V DDH cells

19 Driven Gates and Input Swing Level

20 Gate t d and P leak in Subthreshold Level converter has unacceptable delay overhead for subthreshold circuits: Simulation data (PTM 90nm CMOS) Two supply voltages V DDH = 250 mV and V DDL = 200 mV Gate Above-threshold (V DDH =1.2V, V DDL =0.96V) Sub-threshold (V DDH =250mV, V DDL =200mV) t d (psec)P leak (nW)t d (nsec)P leak (pW) INV Level converter (LC) LC norm. to INV

21 Algorithm I : E opt for Single V dd Characterize Standard cells for maximum delay, average leakage, and capacitances power using SPICE simulation over subthreshold region (100 mV to 300 mV, 10 mV step, 21 points, PTM 90 nm CMOS) Low to high signal activity from logic simulator Critical delay T c from STA (21 points) Calculate E tot by the sum of energy for gates (21 points) Find lowest E tot as E opt

22 Algorithm I : Results 16-bit ripple carry adder for single V dd

23 Algorithm II : V DDL Assignment V DDH and T c are given as inputs from Algorithm I Use repeatedly MILP to solve E tot and V DDL assignment to selected gates on the non-critical paths to achieve minimum energy for a pair of V DDH and V DDL ( V min =90 mV ≤ V DDL < V DDH ) Find E opt and best VDDL Assignment corresponding to E opt Eliminate level converters in dual supply voltage operation by suitable constraints in MILP

24 MILP for V DDL Assignment For given speed requirement T c ( V DDH ) T i is the latest arrival time at a gate i output from PI events X i Integer variable : 0 for V DDH or 1 for V DDL T. Raja, V. D. Agrawal, and M. L. Bushnell, “Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program,” in Proceedings of 16th International Conference on VLSI Design, Jan.2003, pp. 527–532.

25 Topological Constraints Gate i Gate k Gate j XkXk XiXi XjXj HH: X j = 0 and X i = 0 → X i – X j = 0 LL: X j = 1 and X i = 1 → X i – X j = 0 HL: X j = 0 and X i = 1 → X i – X j = 1 LH: X j = 1 and X i = 0 → X i – X j = -1 X i – X j ≥ 0

26 Example Result 16-bit Ripple-Carry Adder (α=0.21) in 90nm Bulk CMOS OperationV DD (V)Energy/cycle (fJ)Clock rate Nominal GHz Minimum Energy Single V DD MHz Dual V DD ( energy opt.)0.19, MHz Dual V DD ( perf. opt.)0.26, MHz

27 Dual-V dd Assignment Results tctc time # of paths 16-bit ripple carry adder 22% reduction of E opt 4X4 multiplier 3% reduction of E opt

28 Outline Study of Subthreshold Voltage Operation Dual Voltage Assignment Algorithm (MILP) Current Progress & Future Work Conclusion

29 Current Progress Validation of a dual-V dd technique in bulk CMOS subthreshold circuits A method for finding minimum energy operating point in single supply voltage An MILP for dual supply voltages in subthreshold region Level converter are avoided A paper submitted to ICCAD 2010

30 Future Work Modify topological constraints to allow suitable level converters or to use circuit techniques, then possibly more V DDL cells Build MILP framework for minimum energy optimized circuit using dual-V dd and highest speed Minimum energy operating circuit immune to functional fails and process variations

31 Outline Study of Subthreshold Voltage Operation Dual Voltage Assignment Algorithm (MILP) Current Progress & Future Work Conclusion

32 Conclusion Dual-V dd MILP framework for minimum energy operating circuit design is effective from minimum energy operating point to highest speed operation:  Ultra low power design without performance constraint  Minimum energy optimized design for given speed  Reduced energy optimization for highest speed

33 Thanks!