1 Foundations for Understanding Achievable Design: Ground Truths, the Bookshelf, and Metrics June 21, 1999.

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

Dan Lander Haru Yamamoto Shane Erickson (EE 201A Spring 2004)
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
DARPA Assessing Parameter and Model Sensitivities of Cycle-Time Predictions Using GTX u Abstract The GTX (GSRC Technology Extrapolation) system serves.
Calibrating Achievable Design Roundtable Discussion June 9, 2002 Facilitator: Bill Joyner, IBM/SRC Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,
Network Management Overview IACT 918 July 2004 Gene Awyzio SITACS University of Wollongong.
Multiobjective VLSI Cell Placement Using Distributed Simulated Evolution Algorithm Sadiq M. Sait, Mustafa I. Ali, Ali Zaidi.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov Supported by Cadence.
1 Measure, Then Improve Andrew B. Kahng April 9, 1999.
abk C.A.D. Agenda u Roadmapping: “Living Roadmaps” for systems u SiP physical implementation platforms (CLC, SOS) s Tools needs u Interfaces and.
Parameters System attributes or variables Example of ASCII parameter grammar #parameter dl_chip #parameter dl_chip #type double #type double #units {m}
DUSD(Labs) GSRC bX update March 2003 Aaron Ng, Marius Eriksen and Igor Markov University of Michigan.
1 Metric Scheme u Transmittal –basic scheme: collect all necessary metrics from tools send metrics to the database –implementation options: send all metrics.
1 Foundations for Understanding Achievable Design: Ground Truths, the Bookshelf, and Metrics Theme Summary.
Threshold Voltage Assignment to Supply Voltage Islands in Core- based System-on-a-Chip Designs Project Proposal: Gall Gotfried Steven Beigelmacher 02/09/05.
DARPA Calibrating Achievable Design Jason Cong, Wayne Dai, Andrew B. Kahng, Kurt Keutzer and Wojciech Maly.
Igal Kaptsan Research Director Applied Research, Bentley Systems Change Management.
Enterprise Architecture
Y. Rong June 2008 Modified in Feb  Industrial leaders  Initiation of a project (any project)  Innovative way to do: NABC ◦ Need analysis ◦ Approach.
SKA-cba-ase NSF and Science of Design Avogadro Scale Engineering Center for Bits & Atoms November 18-19, 2003 Kamal Abdali Computing & Communication.
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
Impromptu Data Extraction and Analysis Data Mining and Analytics Framework for VLSI Designs Sandeep P
New Direction Proposal: An OpenFabrics Framework for high-performance I/O apps OFA TAC, Key drivers: Sean Hefty, Paul Grun.
BTS730 Communications Management Chapter 10, Information Technology Management, 5ed.
Thirteenth Lecture Hour 8:30 – 9:20 am, Sunday, September 16 Software Management Disciplines Process Automation (from Part III, Chapter 12 of Royce’ book)
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
CAD for Physical Design of VLSI Circuits
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 4 Programmable.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
Role-Based Guide to the RUP Architect. 2 Mission of an Architect A software architect leads and coordinates technical activities and artifacts throughout.
A performance evaluation approach openModeller: A Framework for species distribution Modelling.
© 2012 xtUML.org Bill Chown – Mentor Graphics Model Driven Engineering.
Signal Integrity Software, Inc. IBIS Advanced Technology Modeling Group (IBIS-ATM) * Status Report Todd Westerhoff, SiSoft (Chief Troublemaker) DesignCon.
DARPA GTX: The MARCO GSRC Technology Extrapolation System Abstract Technology extrapolation -- i.e., the calibration and prediction of achievable Technology.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
Information Systems Engineering. Lecture Outline Information Systems Architecture Information System Architecture components Information Engineering Phases.
GREG CAPPS [ ASUG INSTALLATION MEMBER MEMBER SINCE:1998 ISRAEL OLIVKOVICH [ SAP EMPLOYEE MEMBER SINCE: 2004 GRETCHEN LINDQUIST [ ASUG INSTALLATION MEMBER.
Implementing Parametric CAD in STEP ???? Kenneth E. Wolsey May 16, 2007
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
1 CS612 Algorithms for Electronic Design Automation CS 612 – Lecture 1 Course Overview Mustafa Ozdal Computer Engineering Department, Bilkent University.
FPGA CAD 10-MAR-2003.
Integrated Microsystems Lab. EE372 VLSI SYSTEM DESIGNE. Yoon 1-1 Panorama of VLSI Design Fabrication (Chem, physics) Technology (EE) Systems (CS) Matel.
From Use Cases to Implementation 1. Structural and Behavioral Aspects of Collaborations  Two aspects of Collaborations Structural – specifies the static.
+ Informatics 122 Software Design II Lecture 13 Emily Navarro Duplication of course material for any commercial purpose without the explicit written permission.
DUSD(Labs) GSRC Calibrating Achievable Design 11/02.
C.A.D.: Bookshelf June 18, 8:00am-11:00am. Outline Review: [some of] bookshelf objectives Where we want to go vs what we have now Invited presentations.
From Use Cases to Implementation 1. Mapping Requirements Directly to Design and Code  For many, if not most, of our requirements it is relatively easy.
Piero Belforte, HDT, July 2000: MERITA Methodology to Evaluate Radiation in Information Technology Application, methodologies and software solutions by Carla Giachino,
Penn State Center for e-Design Site Vision and Capabilities
ASIC Design Methodology
Calibrating Achievable Design
CAD-IP Reuse via the Bookshelf for Fundamental VLSI CAD Algorithms
SMS Roundtable Discussion NAFEMS Americas 2016, Seattle, WA, USA
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
CSC 221: Computer Programming I Fall 2005
Driving Digital Business with SAP Digital Business Services
Delay Optimization using SOP Balancing
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
ITRS Roadmap Design Process Open Discussion EDP 2001
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
C.U.SHAH COLLEGE OF ENG. & TECH.
ECE 699: Lecture 3 ZYNQ Design Flow.
HIGH LEVEL SYNTHESIS.
Delay Optimization using SOP Balancing
Business Processes Associate Consultant - Supply Chain Planning - IBP
Process Wind Tunnel for Improving Business Processes
Presentation transcript:

1 Foundations for Understanding Achievable Design: Ground Truths, the Bookshelf, and Metrics June 21, 1999

2 Mission Enable the understanding of achievable design

3 Theme Evolved From Thrust u : Rebuild the RTL Foundation –predictors and predictability –“unifications” of logic-layout, layout-manufacturing, temporal-physical, synthesis-analysis,... u November 1998: “Design as Optimization” u November 1998: “Ground Truths” u February 1999: “Bookshelf” and Fabrics projects/clustering u April 1999: “Measure, Then Improve” (aka “Metrics”) u June 1999: Foundations for Understanding Achievable Design: Ground Truths, the Bookshelf, and Metrics

4 Precepts u System design is based on : –design optimization – prediction u GSRC must prove : –real collaboration (“combine strengths”) ; whole > sum of parts –critical mass and influence to create community-wide culture change –its effect on the real-world practice of design and test u This Theme’s answer: –ground truths –the bookshelf –metrics

5 1. Ground Truths u Fundamental facts and data points that anchor the process of bounding the achievable envelope of design –ground truths must be identified and propagated u Can be with respect to: –manufacturing process, materials, physical phenomena –specific CAD optimizations of circuit topology/embedding –system architecture and packaging u Are properly extrapolated via: –"inference chains" –response surface modeling and parameter optimization u Drive the EDA community’s vision of future design issues : –current distribution, inductance extraction, UDSM testing, … –fundamental limits

6 Example Ground Truths u What is the maximum possible clock frequency for a given process and die size? u When does inductance matter? u What design tradeoffs must be made to maintain reasonable supply currents? u What is the necessary number of package pins/balls for power/ground distribution? u At what geometries, supply voltages will domino lose most advantages over static CMOS? u (recall: what are extreme fabrics? what are limits of existing fabrics?)

7 Ground Truths Status u Drawing on RPI, GaTech, Berkeley (KK,CH), Rockwell, … u Jason: (models of) BIS/WS-optimized, multi-terminal global interconnects u Wayne: (models of) block packing to improve bounds on top-level interconnect requirements u Wojciech: cost modeling, cost implications (2nd half today) u Issues: –software architecture (Java, C++, website) –use model (data security, data collection, …) –etc.

8 2. The Bookshelf u “Inference chains" through function block, Rent parameter, floorplan, etc. levels of system abstraction must have relevant abstractions –  high-quality models of high-quality heuristic optimizations u The Bookshelf –framework to enable shared, community-wide maintenance of state for key pieces of the (CAD algorithms) R&D leading edge –remove barriers to entry for algorithm research -- and adoption of algorithm research -- at the leading edge u Bookshelf Slot: “key problem for the VLSI CAD field” u Bookshelf = repository for leading-edge innovations -- and their implementations -- for solving this problem

9 The Bookshelf (cont.) u For given Bookshelf slot, several basic types of entries –canonical problem definition (e.g., C++ class + supporting packages) –reference solver implementations –benchmark data –heuristic evaluation and comparison methodology –N.B.: “bookshelf” extends “benchmark” concept to encompass reference implementations and other R&D infrastructure u Bookshelf framework allows : –improved effectiveness and impact of heuristic algorithm research in VLSI CAD –more rapid communication between research groups –more rapid adoption of research advances by industry –path to evolvable GSRC implementation flows/methodologies

10 The Bookshelf Status u One bookshelf slot (FM partitioning) populated, sent to John Reekie for comments; global cell placement to follow u Jason: single interconnect topology optimization with BIS/WS degrees of freedom u Wayne: block placement (area, wirelength, timing driven) u Build system (archive + config script = portable build system) candidate setup created, sent to John Reekie for comments, iteration u Bookshelf proposal, software survey distributed u Backplane –baseline implementation flow being sought (mini-flow from above) –CHDStd on IBM/Cadence is very promising development u Issues: mechanisms for propagation through community

11 3. Metrics u Design optimization must be founded on –an understanding of what should be optimized by which heuristic –an understanding of design as a process u “Metrics” supports original M2 ideal of "measure, then improve” –design becomes less of an art and more of a formal discipline –design process optimization enabled through framework of recording, mining, measuring, diagnosing, and then improving u Infrastructure –requires “proactive” initiative from EDA vendors –designer and design tools R&D communities: (i) what should be measured, (ii) data mining / visualization / diagnosis infrastructure, (iii) project-specific design process data collection

12 Metrics Status u Metrics IP / infrastructure –OxSigen LLC –data model, API, applet to write out metrics embedded in tools –proof of concept: Cadence physical verification project initiated? –existing OxSigen scripting wrapped around log files metricizes baseline GSRC implementation flow u Working on more DPO buy-in from big EDA companies –e.g., convince that there is a business case u Working on pull from big customers = GSRC sponsors

13 Theme Participation u Kurt 20% u Jason 33% u Wojciech 50% u Wayne % u Andrew 100%

14 Visualize December u Ground truths system, v1.0 –arbitrary tradeoff studies, parameter optimizations –accurate models of optimization effects in layers between individual wires/devices and system architecture –cost modeling –understanding of how wrong/correct answers to the above questions can be u Bookshelf –well-publicized goals, standards –3-5 slots instantiated with entries from multiple investigators –mini-flow built around soft-block packing/global interconnect opt/cell placement u Metrics –two of largest EDA vendors have metricized at least one major tool –T2 members all run metricized implementation methodology

15 Collaborative Infrastructure u Website with discussion boards, research material upload and linked messaging u Baseline implementation tool flow for flat or two-level hierarchical physical chip implementation

16 Misc: Design Driver Desiderata u We understand that it is difficult (perhaps impossible) to obtain a “real, industry” design driver u Having said this, possible improvements would be: –Push limits of technology and limits of CAD –Limits of frequency, power / clock distribution –uP-like (e.g., lots of cache) –complex timing architecture ? –Size: large if possible drive logical partitioning, global interconnect/clock optimization, … –“Front-to-back” (includes package DOF’s) –very important: complete information (all views, all stages)