IC-SOC STEAC: An SOC Test Integration Platform Cheng-Wen Wu.

Slides:



Advertisements
Similar presentations
Introduction to DFT Alexander Gnusin.
Advertisements

Power-Aware and BIST-Aware NoC Reuse on the Testing of Core-based Systems Érika Cota Luigi Carro Flávio WagnerMarcelo Lubaszewski UFRGS Porto Alegre, Brazil.
Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
BOUNDARY SCAN.
Retiming Scan Circuit To Eliminate Timing Penalty
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
VLSI-SoC, Atlanta J. Dalmasso, ML Flottes, B. Rouzeyre CNRS/ Univ. Montpellier II France 1 17/10/2007.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Modular SOC Testing With Reduced Wrapper Count Qiang Xu; Nicolici, N., “Modular SOC testing with reduced wrapper count”, IEEE Transactions on Computer-Aided.
PLD Technology Basics. Basic PAL Architecture DQ Q CLK OE Fuse.
Hyunbean Yi, Sungju Park, and Sandip Kundu, Fellow, IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS-I : REGULAR PAPERS, VOL. 57, NO. 7, JULY 2010 Reporter:
Lecture 28 IEEE JTAG Boundary Scan Standard
X-Compaction Itamar Feldman. Before we begin… Let’s talk about some DFT history: Design For Testability (DFT) has been around since the 1960s. The technology.
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Core-based SoCs Testing Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping University Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping.
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 121 Design for Testability Theory and Practice Lecture 12: System Diagnosis n Definition n Functional.
Scheduling with Optimized Communication for Time-Triggered Embedded Systems Slide 1 Scheduling with Optimized Communication for Time-Triggered Embedded.
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
TAP (Test Access Port) JTAG course June 2006 Avraham Pinto.
Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving Zhiyuan He 1, Zebo Peng 1, Petru Eles 1 Paul Rosinger 2, Bashir M. Al-Hashimi.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 241 Lecture 24 Design for Testability (DFT): Partial-Scan & Scan Variations n Definition n Partial-scan.
Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing Baohu Li and Vishwani D. Agrawal Auburn University, ECE Dept., Auburn, AL 36849, USA.
BIST vs. ATPG.
An Embedded Core DFT Scheme to Obtain Highly Compressed Test Sets Abhijit Jas, Kartik Mohanram, and Nur A. Touba Eighth Asian Test Symposium, (ATS.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Scan and JTAG Principles1 Scan and JTAG Principles ARM Advanced RISC Machines.
Security Design for IEEE P1687
Testimise projekteerimine: Labor 2 BIST Optimization
Adopting Multi-Valued Logic for Reduced Pin-Count Testing Baohu Li, Bei Zhang and Vishwani Agrawal Auburn University, ECE Dept., Auburn, AL 36849, USA.
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
Standard based Instrumentation schemes for 3D SoC Neal Stollon Chairman, Nexus 5001 Forum
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.
Robust Low Power VLSI ECE 7502 S2015 Analog and Mixed Signal Test ECE 7502 Class Discussion Christopher Lukas 5 th March 2015.
Testing of integrated circuits and design for testability J. Christiansen CERN - EP/MIC
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
Logic BIST Logic BIST.
1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Reducing Test Application Time Through Test Data Mutation Encoding Sherief Reda and Alex Orailoglu Computer Science Engineering Dept. University of California,
RF network in SoC1 SoC Test Architecture with RF/Wireless Connectivity 1. D. Zhao, S. Upadhyaya, M. Margala, “A new SoC test architecture with RF/wireless.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Boundary Scan.
Integrated Test Data Compression and Core Wrapper Design for Low-Cost System-on-a-Chip Testing Paul Theo Gonciari Bashir Al-Hashimi Electronic Systems.
April 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 281 Lecture 28 IEEE JTAG Boundary Scan Standard n Motivation n Bed-of-nails tester n System view.
Wrapper/TAM Optimization1 System-on-Chip (SoC) Testing SoC Wrapper/TAM Design.
System-level power analysis and estimation September 20, 2006 Chong-Min Kyung.
Image Compression Based On BTC-DPCM And It ’ s Data-Driven Parallel Implementation Author : Xiaoyan Yu 、 Iwata, M. Source : Image Processing, ICIP.
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
Final Exam Review. Homework Notes Pay attention to significant digits Should not have more significant digits in answer than in problem Example: HW1 #1.1.
TAM design and Test Data Compression for SoC Test Cost Reduction Julien DALMASSO, Marie-Lise FLOTTES, Bruno ROUZEYRE LIRMM, UMR5506 CNRS/Université Montpellier.
Useless Memory Allocation in System on a Chip Test: Problems and Solutions Paul Theo Gonciari Bashir Al-Hashimi Electronic Systems Design Group University.
Low Power IP Design Methodology for Rapid Development of DSP Intensive SOC Platforms T. Arslan A.T. Erdogan S. Masupe C. Chun-Fu D. Thompson.
Power-aware NOC Reuse on the Testing of Core-based Systems* CSCE 932 Class Presentation by Xinwang Zhang April 26, 2007 * Erika Cota, et al., International.
VLSI Testing Lecture 14: System Diagnosis
Testability in EOCHL (and beyond…)
On-Time Network On-chip
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 2)
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 3)
ECE 434 Advanced Digital System L18
Sungho Kang Yonsei University
Sungho Kang Yonsei University
Lecture 26 Logic BIST Architectures
Reseeding-based Test Set Embedding with Reduced Test Sequences
Test Data Compression for Scan-Based Testing
A Random Access Scan Architecture to Reduce Hardware Overhead
Presentation transcript:

IC-SOC STEAC: An SOC Test Integration Platform Cheng-Wen Wu

drivers2.03DTC, NTHU 2 Outline  Introduction  Test Access Control System (TACS)  Test Pattern Application  Test Time Calculation  Test Integration Issues and Solutions  Test Scheduling  IO Reduction for Test Control Signals  Timing Issues in Functional Test  Scan and Functional IO Sharing  Experimental Results  Conclusions

drivers2.03DTC, NTHU 3 Introduction  Test scheduling is one of the most important challenges in SOC testing  Test IO utilization  SOC test time reduction  Previous works discuss TAM architectures and core test scheduling, assuming fixed IOs for test control  Without considering realistic test architecture  Too optimistic  We define test scheduling based on Test Access Control System (TACS) [TECS02]  Consider TAM, test control and the IO constraint

drivers2.03DTC, NTHU 4 IEEE P1500-Based Test Architecture  P1500 Test Wrapper provides circuit isolation and test access for embedded cores  Serial access & parallel access K.-L. Cheng, et al.,”An SOC Test Integration Platform and Its Industrial Realization”, ITC’04

drivers2.03DTC, NTHU 5 Test Access Control System (TACS)  Our scheduling model is based on our TACS architecture  IEEE compliant TAP Controller  Controls operation of P1500 Test Wrapper  Configures TAM  Sends test patterns and receives test response through its TAM IO  Multiplexer-based TAM bus  Only a small number of IOs are needed for test control  5 inputs for TDI, TMS, TCK, TRST, and TSE  1 output for TDO

drivers2.03DTC, NTHU 6 TACS Architecture

drivers2.03DTC, NTHU 7 TAM Architecture of TACS  Hybrid TAM architecture  Combine multiplexing architecture and distribution architecture  Session-based test scheduling  Simpler test controller and TAM arbitration circuit  Lower test scheduling complexity

drivers2.03DTC, NTHU 8 STEAC Test Integration Flow HDL Designs with DFT information Verilog Parser STIL Parser Core Test Scheduler TACS Generator TACS Generator TAM Generator TAM Generator Wrapper Generator Wrapper Generator Test Insertion System Pat. Trans. Testable HDL Designs Wrapper Pat. Trans.

drivers2.03DTC, NTHU 9 The Test Scheduling Problem Given: (1) test information of each core; (2) test resource constraints (including TAM and test control) Determine: (1) order of core tests; (2) test resource allocated to each core Such that: total test time is minimized (by exploring the highest degree of parallelism)  Assumptions in previous works:  Test time is the product of vector number and shift path length  Powerful controller  high area overhead  Multiple sets of control IOs  high test I/O count  Each core can be tested at any time  Powerful controller & complex TAM arbitration

drivers2.03DTC, NTHU 10 Realistic Test Modeling  Test time calculation under TACS P A : number of test vectors for Core A P B : number of test vectors for Core B L A : number of cycles to Load/Unload test data for Core A L B : number of cycles to Load/Unload test data for Core B; L A >L B P A (L A +5)+P A (P B -P A )(L B +5) Core B only Core B & Core A [L, A, U]vector 1: A vector (P +1): [L, A, U] A vector P : [L, A, U] A vector (P -1): [L, A, U]vector 2: [L, A, U] L: Load A: Apply U: Unload [L, A, U] B vector P : [L, A, U] A vector (P +2):

drivers2.03DTC, NTHU 11 IO Resource Constraint  Test cost is also related to test IO channels  Package and tester  Simpler test controller  fewer test IOs  better TAM utilization  shorter test time  lower test cost  When developing a test methodology for SOC, we should compare the test time under the same test IO resource constraint

drivers2.03DTC, NTHU 12 Test IO Reduction  All clock, reset, and test enable signals must be directly controlled  If cores are not tested concurrently, they can share the same clock and reset signals  Need 3 test clock signals and 3 test reset signals  Test enable signals are generated by the test controller TSC1: core1 and cor4 TSC2: core2 and core5 TSC3: core3

drivers2.03DTC, NTHU 13 Test Time Calculation  Example: Cores A and B are tested concurrently in the same session  Shift length L A > shift length L B  Pattern count P B > pattern count P A  The test time of this session:  P A x (L A +5) +L A + (P B - P A ) x L B  Can the test time be further reduced? A B A B A B Original Further reduced P A x (L A +5) (P B - P A ) x L B LALA

drivers2.03DTC, NTHU 14 Scan and Functional IO Sharing

drivers2.03DTC, NTHU 15 Typical Test Scheduling Method  Sort the cores by test time, assuming 1-bit TAM for each core  Initial schedule: Order the cores from longest test time to shortest test time  Give a start point to our branch and bound scheduling algorithm Schedule all the cores with its max Pareto-optimal points  Perform a branch and bound algorithm Only try the Pareto-optimal points of each core  Finally, get the best result from our algorithm

drivers2.03DTC, NTHU 16 Experimental Result  The test time improvement is ΔT max (%) = 100 x (original T max – improved T max ) / original T max  Example: core A and core B are tested in the same session  L A = 218, L B = 48  P A = 45, P B = 160  Original test scheduling  Test time = 45 x (218+5)+218+ (160 – 45) x 48 = 15,773  Improved test scheduling  Test time = max{45x(218+5)+218,160x(48+5)+48}=10,253  Test time improvement = 35.0%

drivers2.03DTC, NTHU 17 Conclusions  We propose a practical SOC test scheduling scheme under test IO constraint  Our TACS architecture only needs fixed number of test controls  We propose a new method which allows test IO sharing in different test sessions  Reducing the test IO number  We also propose a method to shifting test patterns independently for different cores in a test session  Further reducing the test session time