1 FRAIGs: Functionally Reduced And-Inverter Graphs Adapted from the paper “FRAIGs: A Unifying Representation for Logic Synthesis and Verification”, by.

Slides:



Advertisements
Similar presentations
The Synthesis of Cyclic Circuits with SAT and Interpolation By John Backes and Marc Riedel ECE University of Minnesota.
Advertisements

FRAIGs - A Unifying Representation for Logic Synthesis and Verification - Alan Mishchenko, Satrajit Chatterjee, Roland Jiang, Robert Brayton ERL Technical.
Representing Boolean Functions for Symbolic Model Checking Supratik Chakraborty IIT Bombay.
ECE 667 Synthesis and Verification of Digital Systems
ECE 667 Synthesis & Verification - Boolean Functions 1 ECE 667 Spring 2013 ECE 667 Spring 2013 Synthesis and Verification of Digital Circuits Boolean Functions.
DATE-2002TED1 Taylor Expansion Diagrams: A Compact Canonical Representation for Symbolic Verification M. Ciesielski, P. Kalla, Z. Zeng B. Rouzeyre Electrical.
Combining Technology Mapping and Retiming EECS 290A Sequential Logic Synthesis and Verification.
Boolean Functions and their Representations
Introduction to Logic Synthesis Alan Mishchenko UC Berkeley.
EE290A 1 Retiming of AND- INVERTER graphs with latches Juliet Holwill 290A Project 10 May 2005.
Reachability Analysis using AIGs (instead of BDDs?) 290N: The Unknown Component Problem Lecture 23.
DAG-Aware AIG Rewriting Alan Mishchenko, Satrajit Chatterjee, Robert Brayton Department of EECS, University of California Berkeley Presented by Rozana.
1 A New Enhanced Approach to Technology Mapping Alan Mishchenko Presented by: Sheng Xu May 2 nd 2006.
ECE Synthesis & Verification - Lecture 10 1 ECE 697B (667) Spring 2006 ECE 697B (667) Spring 2006 Synthesis and Verification of Digital Systems Binary.
 2001 CiesielskiBDD Tutorial1 Decision Diagrams Maciej Ciesielski Electrical & Computer Engineering University of Massachusetts, Amherst, USA
ECE 667 Synthesis & Verification - BDD 1 ECE 667 ECE 667 Synthesis and Verification of Digital Systems Binary Decision Diagrams (BDD)
Logic Synthesis Primer
By Tariq Bashir Ahmad Taylor Expansion Diagrams (TED) Adapted from the paper M. Ciesielski, P. Kalla, Z. Zeng, B. Rouzeyre,”Taylor Expansion Diagrams:
ENGG 1203 Tutorial Combinational Logic (I) 1 Feb Learning Objectives
Wenlong Yang Lingli Wang State Key Lab of ASIC and System Fudan University, Shanghai, China Alan Mishchenko Department of EECS University of California,
Digitaalsüsteemide verifitseerimise kursus1 Formal verification: BDD BDDs applied in equivalence checking.
Faster Logic Manipulation for Large Designs Alan Mishchenko Robert Brayton University of California, Berkeley.
Electrical and Computer Engineering Archana Rengaraj ABC Logic Synthesis basics ECE 667 Synthesis and Verification of Digital Systems Spring 2011.
05/04/06 1 Integrating Logic Synthesis, Tech mapping and Retiming Presented by Atchuthan Perinkulam Based on the above paper by A. Mishchenko et al, UCAL.
BoolTool: A Tool for Manipulation of Boolean Functions Petr Fišer, David Toman Czech Technical University in Prague Dept. of Computer Science and Engineering.
Combinational and Sequential Mapping with Priority Cuts Alan Mishchenko Sungmin Cho Satrajit Chatterjee Robert Brayton UC Berkeley.
ABC: A System for Sequential Synthesis and Verification BVSRC Berkeley Verification and Synthesis Research Center Robert Brayton, Niklas Een, Alan Mishchenko,
Logic Synthesis: Past and Future Alan Mishchenko UC Berkeley.
1 Stephen Jang Kevin Chung Xilinx Inc. Alan Mishchenko Robert Brayton UC Berkeley Power Optimization Toolbox for Logic Synthesis and Mapping.
Technology Mapping. 2 Technology mapping is the phase of logic synthesis when gates are selected from a technology library to implement the circuit. Technology.
Wenlong Yang Lingli Wang State Key Lab of ASIC and System Fudan University, Shanghai, China Alan Mishchenko Department of EECS University of California,
Research Roadmap Past – Present – Future Robert Brayton Alan Mishchenko Logic Synthesis and Verification Group UC Berkeley.
1 Alan Mishchenko Research Update June-September 2008.
A Semi-Canonical Form for Sequential Circuits Alan Mishchenko Niklas Een Robert Brayton UC Berkeley Michael Case Pankaj Chauhan Nikhil Sharma Calypto Design.
Global Delay Optimization using Structural Choices Alan Mishchenko Robert Brayton UC Berkeley Stephen Jang Xilinx Inc.
A Toolbox for Counter-Example Analysis and Optimization
Reducing Structural Bias in Technology Mapping
ECE 2110: Introduction to Digital Systems
Power Optimization Toolbox for Logic Synthesis and Mapping
Delay Optimization using SOP Balancing
ECE 331 – Digital System Design
Robert Brayton Alan Mishchenko Niklas Een
Alan Mishchenko Satrajit Chatterjee Robert Brayton UC Berkeley
Logic Synthesis Primer
Introduction to Logic Synthesis with ABC
Logic Synthesis: Past, Present, and Future
Applying Logic Synthesis for Speeding Up SAT
Versatile SAT-based Remapping for Standard Cells
SAT-based Methods: Logic Synthesis and Technology Mapping
Integrating an AIG Package, Simulator, and SAT Solver
Synthesis for Verification
The Synergy between Logic Synthesis and Equivalence Checking
SAT-Based Area Recovery in Technology Mapping
Alan Mishchenko University of California, Berkeley
Scalable and Scalably-Verifiable Sequential Synthesis
Sungho Kang Yonsei University
Resolution Proofs for Combinational Equivalence
Integrating an AIG Package, Simulator, and SAT Solver
Introduction to Logic Synthesis
Recording Synthesis History for Sequential Verification
Logic Synthesis: Past, Present, and Future
Delay Optimization using SOP Balancing
Logic Synthesis: Past and Future
Innovative Sequential Synthesis and Verification
Robert Brayton Alan Mishchenko Niklas Een
SAT-based Methods: Logic Synthesis and Technology Mapping
Introduction to Logic Synthesis with ABC
Robert Brayton Alan Mishchenko Niklas Een
Alan Mishchenko Department of EECS UC Berkeley
Integrating AIG Package, Simulator, and SAT Solver
Presentation transcript:

1 FRAIGs: Functionally Reduced And-Inverter Graphs Adapted from the paper “FRAIGs: A Unifying Representation for Logic Synthesis and Verification”, by Mishchenko, Chatterjee, Jiang, Brayton, UCB Technical Report 2005 By Ashesh Rastogi

2 Outline Background on AIGs FRAIGs Applications of FRAIGs Experimental Results Conclusions

3 Background AND-INVERTER Graphs (AIGs) –Boolean Network composed on 2-input AND gates and Inverters –Representations: NAND OR a b a b a b b a a + b

4 Background Properties of AIGs –Function f n (x) of AIG node n – logic cone rooted at node n with base as PI –Nodes of AIG – Number of AND gates –Levels of AIG – Number of AND gates on the longest path from PI to PO –Number of nodes  Number of literals in factored form

5 Background Properties of AIGs –Not Canonical –Note: These AIGs are FRAIGs

6 Background AIG Construction –Given a SOP  –Convert it into factored form  –Convert all 2-input OR gates into 2-input AND gates using DeMorgan Rule

7 Background AIG Construction –Given a circuit –Perform recursive construction for each PO –At each step add new AND gate and Perform Structural Hashing (Strashing) One-Level Strashing: Check for AND gate with same fan-ins by looking at hash table No Strashing: Don’t check – leads to redundant nodes –If a PI node: Create an AIG variable –Else construct AIG node for factored form node

8 Background AIG with redundant nodes Has 11 Nodes and 5 Levels

9 FRAIGs Properties of FRAIGs –For any two nodes n1, n2 and –“Semi-Canonical”: No two functions have same PIs but still have different structures –Possess same properties of AIGs

10 FRAIGs FRAIG Construction –Perform one-level strashing –Perform functional equivalent test Do random simulation for each node by calling SAT solver Store results in a look-up table Check new node functionally equivalent to old node

11 Applications of FRAIGs Traditional Logic Synthesis –Helps create compact circuits –Uniform representation of DAGs and algebraic factored forms “Lossless” Logic Synthesis –Collect all logic representations obtained over several optimization steps

12 Applications of FRAIGs Technology Mapping –More structural mapping choices (Lossless Synthesis) –Improved mapping quality Formal Verification –Improved Combinational Equivalence Checking (CEC) –Ensures transformation at each step of synthesis is functionally correct

13 Experiment Results fraig -n: No strashing fraig -r: One-level strashing fraig: One-level strashing with functional reduction

14 Experiment Results fraig -f: SAT solver feedback not used fraig -s: No functional equivalence check for sparse functions

15 Experiment Results With and without structural choices

16 Experiment Results Runtimes in MVSIS environment and on 2.4GHz Xeon CPU

17 Conclusions FRAIGs help in unifying all steps of logic synthesis –Optimized functional representation –Enhanced technology mapping with help of lossless synthesis –Transparent CEC: Guarantees all transformations correct More robust than BDDs FRAIG is available for use in ABC Package

18 QUESTIONS ?