Fall 2006, Oct. 5 ELEC 5270-001/6270-001 Lecture 8 1 ELEC 5270-001/6270-001(Fall 2006) Low-Power Design of Electronic Circuits Glitch-Free ASICs and Custom.

Slides:



Advertisements
Similar presentations
9/15/05ELEC / Lecture 71 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Advertisements

ELEC Digital Logic Circuits Fall 2014 Logic Synthesis (Chapters 2-5) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and.
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
Low Power Design of CMOS Circuits Vishwani D. Agrawal James J. Danaher Professor ECE Dept., Auburn University, Auburn, AL Nov 19, 20091Agrawal: Low.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
5/9/2015 A 32-bit ALU with Sleep Mode for Leakage Power Reduction Manish Kulkarni Department of Electrical and Computer Engineering Auburn University,
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
May 18, 2004MS Defense: Uppalapati1 Low Power Design of Standard Cell Digital VLSI Circuits By Siri Uppalapati Thesis Directors: Prof. M. L. Bushnell and.
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
10/27/05ELEC / Lecture 161 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
Design of Variable Input Delay Gates for Low Dynamic Power Circuits
9/23-30/04ELEC / ELEC / (Fall 2004) Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and Self-Test.
10/25/05ELEC / Lecture 151 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Aug 31, '02VDAT'02: Low-Power Design1 Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Tezaswi Raja, Rutgers.
Dec. 1, 2005ELEC Class Presentation1 Impact of Pass-Transistor Logic (PTL) on Power, Delay and Area Kalyana R Kantipudi ECE Department Auburn.
August 12, 2005Uppalapati et al.: VDAT'051 Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells 9th VLSI Design & Test Symposium.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 7 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Gate-Level Power Optimization Vishwani.
11/03/05ELEC / Lecture 181 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
9/08/05ELEC / Lecture 51 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Nov. 29, 2005 ELEC Class Presentation 1 Logic Redesign for Low Power ELEC 6970 Project Presentation By Nitin Yogi.
Dec. 6, 2005ELEC Glitch Power1 Low power design: Insert delays to eliminate glitches Yijing Chen Dec.6, 2005 Auburn university.
Minimum Dynamic Power Design Using Variable Input Delay CMOS Logic
8/19/04ELEC / ELEC / Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and Self-Test Fall 2004 Vishwani.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 12 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power.
Nov. 8, 001Low-Power Design Digital Circuit Design for Minimum Transient Energy Vishwani D. Agrawal Circuits and Systems Research Lab, Agere Systems (Bell.
8/30/05ELEC / Lecture 31 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
9/20/05ELEC / Lecture 81 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
9/13/05ELEC / Lecture 61 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
9/21/04ELEC / Class Projects 1 ELEC / /Fall 2004 Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and.
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
10/11/05ELEC / Lecture 121 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
9/29/05ELEC / Lecture 101 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Fall 2006, Oct. 17 ELEC / Lecture 9 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Power Analysis: Logic Level.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
Fall 2006, Sep. 26, Oct. 3 ELEC / Lecture 7 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Dynamic Power:
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
8/23-25/05ELEC / Lecture 21 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 13 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino.
10/20/05ELEC / Lecture 141 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 6 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Dynamic Power: Device Sizing Vishwani.
Fall 2006: Dec. 5 ELEC / Lecture 13 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani.
Copyright Agrawal & Srivaths, 2007 Low-Power Design and Test, Lecture 5 1 Low-Power Design and Test Gate-Level Power Optimization Vishwani D. Agrawal Auburn.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 11 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani D. Agrawal.
9/27/05ELEC / Lecture 91 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Jan 7, 2010Agrawal: Low Power CMOS Design1 Vishwani D. Agrawal James J. Danaher Professor ECE Dept., Auburn University, Auburn, AL
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Chapter 07 Electronic Analysis of CMOS Logic Gates
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – 30 Lab 2: CMOS Design.
Spring 2010, Mar 10ELEC 7770: Advanced VLSI Design (Agrawal)1 ELEC 7770 Advanced VLSI Design Spring 2010 Gate Sizing Vishwani D. Agrawal James J. Danaher.
Copyright Agrawal, 2007ELEC6270 Spring 13, Lecture 101 ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani D. Agrawal.
ELEC Digital Logic Circuits Fall 2015 Logic Synthesis (Chapters 2-5) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and.
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
ELEC Digital Logic Circuits Fall 2014 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
9/30/04, 10/7/04, 1/20/05 ELEC / / , Guest Lecture, Low-Power Design 1 ELEC / (Fall 2004) ELEC (Spring.
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – 30 Lab 2: NAND gate.
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
Vishwani D. Agrawal James J. Danaher Professor
Vishwani D. Agrawal James J. Danaher Professor
ELEC 5270/6270 Spring 2011 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
ELEC 7770 Advanced VLSI Design Spring 2014 Technology Mapping
CSV881: Low-Power Design Gate-Level Power Optimization
ELEC 7770 Advanced VLSI Design Spring 2016 Technology Mapping
ELEC 5270/6270 Spring 2009 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
Presentation transcript:

Fall 2006, Oct. 5 ELEC / Lecture 8 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Glitch-Free ASICs and Custom Design Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL

Fall 2006, Oct. 5ELEC / Lecture 82 Motivation Application Specific Integrated Circuit (ASIC) chips employ standard cell design style. Application Specific Integrated Circuit (ASIC) chips employ standard cell design style. Dynamic power consumed by glitches in a CMOS circuit, though significant, can be reduced or eliminated by design. Dynamic power consumed by glitches in a CMOS circuit, though significant, can be reduced or eliminated by design. Existing glitch reduction techniques demand customized gate design, not suitable for a standard cell ASIC. Existing glitch reduction techniques demand customized gate design, not suitable for a standard cell ASIC.

Fall 2006, Oct. 5ELEC / Lecture 83 Power Dissipation in CMOS Logic (0.25 µ ) %75%5%20 P total (0 → 1) = C L V DD 2 + t sc V DD I peak + V DD I leakage CLCL

Fall 2006, Oct. 5ELEC / Lecture 84 Prior Work: Hazard Filtering Glitch is suppressed when the inertial delay of gate exceeds the differential input delay. Glitch is suppressed when the inertial delay of gate exceeds the differential input delay. 1 or 3 2 Filtering Effect of a gate Reference: V. D. Agrawal, “Low Power Design by Hazard Filtering”, VLSI Design or 2 2

Fall 2006, Oct. 5ELEC / Lecture 85 Prior Work: A Reduced Constraint Set LP Model for Glitch Removal Satisfy glitch suppression condition at all gates: Satisfy glitch suppression condition at all gates: Differential path delay at gate input < inertial delay Use a linear program (LP) to find delays Use a linear program (LP) to find delays Path enumeration avoided Path enumeration avoided Reduced (linear) size of LP allows scalability Reduced (linear) size of LP allows scalability Design gates with specified delays Design gates with specified delays 40-60% dynamic power savings in custom design 40-60% dynamic power savings in custom design Procedure is not suitable for pre-designed cell libraries Procedure is not suitable for pre-designed cell libraries Reference: T. Raja, V. D. Agrawal and M. L. Bushnell, “Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program,” VLSI Design 2003.

Fall 2006, Oct. 5ELEC / Lecture 86 Prior Work: ASIC J. M. Masgonty, S. Cserveny, C. Arm and P. D. Pfister, “Low-Power Low-Voltage Standard Cell Libraries with a Limited Number of Cells”, PATMOS ’01 J. M. Masgonty, S. Cserveny, C. Arm and P. D. Pfister, “Low-Power Low-Voltage Standard Cell Libraries with a Limited Number of Cells”, PATMOS ’01 Transistor sizing results in % savings in power Transistor sizing results in % savings in power Power optimized by minimizing parasitic capacitances Power optimized by minimizing parasitic capacitances No glitch reduction attempted No glitch reduction attempted Y. Zhang, X. Hu and D. Z. Chen, “Cell Selection from Technology Libraries for Minimizing Power”, DAC ’01 Y. Zhang, X. Hu and D. Z. Chen, “Cell Selection from Technology Libraries for Minimizing Power”, DAC ’01 Mixed Integer Linear Program (MILP) to select from different realizations of cells such that power consumption is minimized without violating delay constraints Mixed Integer Linear Program (MILP) to select from different realizations of cells such that power consumption is minimized without violating delay constraints Sum of dynamic and leakage power is minimized Sum of dynamic and leakage power is minimized Library contains cells of varying sizes, supply voltages, and threshold voltages Library contains cells of varying sizes, supply voltages, and threshold voltages Achieved 79% power saving on an average Achieved 79% power saving on an average No glitch reduction attempted. No glitch reduction attempted.

Fall 2006, Oct. 5ELEC / Lecture 87 A Glitch-Free Design Balance differential delays at cell inputs: Balance differential delays at cell inputs: Use Resistive Feedthrough cell delay elements Use Resistive Feedthrough cell delay elements Automate the design Automate the design Customized delay cell generation Customized delay cell generation Insertion into the circuit Insertion into the circuit

Fall 2006, Oct. 5ELEC / Lecture 88 Delay Elements Inverter pair: delay controlled by W/L of transistors. Inverter pair: delay controlled by W/L of transistors. Diffusion capacitor: n-diffusion, SiO2, polysilicon. Diffusion capacitor: n-diffusion, SiO2, polysilicon. Polysilicon resistor: R □ L/W Polysilicon resistor: R □ L/W Sheet resistance (0.25μ CMOS process) Sheet resistance (0.25μ CMOS process) R □ = 3.6Ω/square, with silicide R □ = 3.6Ω/square, with silicide R □ = 173.6Ω/square, with silicide masked R □ = 173.6Ω/square, with silicide masked Transmission gate Transmission gate

Fall 2006, Oct. 5ELEC / Lecture 89 Evaluation of Delay Elements

Fall 2006, Oct. 5ELEC / Lecture 810 Comparison of Delay Elements Delayelement Average delay (ns) Delay/ Power ns/μW ns/μWDelay/Area ns/grids ns/grids I II III IV II. n diffusion capacitor(2.7fF) III. Polysilicon resistor (15.4kΩ) IV. Transmission gate I. Inverter pair Resistor shows Resistor shows Maximum delay Maximum delay Minimum power and area per unit delay Minimum power and area per unit delay Hence, best delay element Hence, best delay element Resistive feed through cell Resistive feed through cell A fictitious buffer at logic level A fictitious buffer at logic level

Fall 2006, Oct. 5ELEC / Lecture 811 Resistive Feedthrough Cell A parameterized cell A parameterized cell Physical design is simple – easily automated Physical design is simple – easily automated No routing layers(M2 to M5) used – not an obstruction to the router No routing layers(M2 to M5) used – not an obstruction to the router R □ *(length of poly) Width of poly R = S. Uppalapati, “Low Power Design of Standard Cell Digital VLSI Circuits,” Master’s Thesis, Rutgers University, Dept. of ECE, Piscataway, NJ, Oct

Fall 2006, Oct. 5ELEC / Lecture 812 RC Delay Model C L varies during transition ( model not perfectly linear) C L varies during transition ( model not perfectly linear) Spectre simulation data stored as a 3D lookup table Spectre simulation data stored as a 3D lookup table Average of signal rise and fall delays Average of signal rise and fall delays Linear interpolation used Linear interpolation used T PLH + T PHL 2 T P = Vin R CLCL Vout CLCL R TPTP

Fall 2006, Oct. 5ELEC / Lecture 813 Design Optimization Flow Design Entry Tech. Mapping Layout Remove Glitches Find delays from LP Find resistor values from lookup table Generate feed through cells and modify netlist

Fall 2006, Oct. 5ELEC / Lecture 814 Results Circuit New Standard Cell Based Design Power saved (%) in custom design Raja et al. Area overhead (%) Power saved (%) 4 bit ALU N/A c C C C C S. Uppalapati, “Low Power Design of Standard Cell Digital VLSI Circuits,” Master’s Thesis, Rutgers University, Dept. of ECE, Piscataway, NJ, Oct

Fall 2006, Oct. 5ELEC / Lecture 815 Glitch Elimination on net86 in 4-bit ALU Source: Post layout simulation in SPECTRE

Fall 2006, Oct. 5ELEC / Lecture 816 Layouts of c880 Original layout of c880 Optimized layout of c880 Power saving = 43% Area increase= 98%

Fall 2006, Oct. 5ELEC / Lecture 817 Reference S. Uppalapati, M. L. Bushnell and V. D. Agrawal, “Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells,” Proc. 9 th VLSI Design and Test Symp., Aug , 2005, pp S. Uppalapati, M. L. Bushnell and V. D. Agrawal, “Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells,” Proc. 9 th VLSI Design and Test Symp., Aug , 2005, pp

Fall 2006, Oct. 5ELEC / Lecture 818 Conclusion Successfully devised a glitch removal method for the standard cell based design style Successfully devised a glitch removal method for the standard cell based design style Does not require redesign of the library cells Does not require redesign of the library cells Does not increase the critical path delay Does not increase the critical path delay Modified design flow maintains the benefits of ASIC Modified design flow maintains the benefits of ASIC On an average On an average Dynamic power saving: 41% Dynamic power saving: 41% Area overhead: 60% Area overhead: 60% Possible ways to reduce area overhead Possible ways to reduce area overhead Cell replacements from existing library Cell replacements from existing library On-the-fly-cell design On-the-fly-cell design Adjust routing delays for glitch suppression Adjust routing delays for glitch suppression

Fall 2006, Oct. 5ELEC / Lecture 819 Custom Design Model gates with input and output delays. Model gates with input and output delays. Gate Output delay = d Input 1 Input 2 d1 d2 Delay = d + d2 Delay = d + d1 0 ≤ d1, d2 ≤ ub

Fall 2006, Oct. 5ELEC / Lecture 820 Determination of Delays Determine the realizable upper bound (ub) on gate input differential delay by simulation of gates and delay elements. Determine the realizable upper bound (ub) on gate input differential delay by simulation of gates and delay elements. Determine input and output delays for all gates for glitch suppression. Determine input and output delays for all gates for glitch suppression. Implement gates with required delays. Implement gates with required delays. References: References: 1. T. Raja, V. D. Agrawal and M. L. Bushnell, “Design of Variable Input Delay Logic for Low Dynamic Power Circuits,” Proc. PATMOS, Sep T. Raja, V. D. Agrawal and M. L. Bushnell, “Variable Input Delay Logic and Its Application to Low Power Design,” Proc. 18 th Int’l. Conference on VLSI Design, Jan 2005, pp T. Raja, V. D. Agrawal and M. L. Bushnell, “CMOS Design of Circuits for Minimum Dynamic Power and Highest Speed,” Proc. 17 th Int’l. Conference on VLSI Design, Jan 2004, pp

Fall 2006, Oct. 5ELEC / Lecture 821 Implementation of Delays Gate delay = d+d1 VDD d1 < d2 Delay = d2-d1

Fall 2006, Oct. 5ELEC / Lecture 822 Design of c7552 Circuit Un-optimized Gate Count= 3827 Transistor Count ≈ 40,000 Critical Delay = 2.15 ns Area= 710 x 710 μm 2 Optimized Gate Count= 3828 Transistor Count ≈ 45,000 Critical Delay = 2.15 ns Area= 760 x 760 μm 2 (1.14)

Fall 2006, Oct. 5ELEC / Lecture 823 Instantaneous Power by Spice Power Saving: Peak 68%, Average 58%

Fall 2006, Oct. 5ELEC / Lecture 824 Energy Measured by Spice Energy Measured by Spice Power Saving: Average 58%