04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, 8.3.4 (text) HW 8 is due now!

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

EE 201A Modeling and Optimization for VLSI LayoutJeff Wong and Dan Vasquez EE 201A Noise Modeling Jeff Wong and Dan Vasquez Electrical Engineering Department.
ECE C03 Lecture 71 Lecture 7 Delays and Timing in Multilevel Logic Synthesis Hai Zhou ECE 303 Advanced Digital Design Spring 2002.
UNIT 4 BASIC CIRCUIT DESIGN CONCEPTS
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
Clock Design Adopted from David Harris of Harvey Mudd College.
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
EE466: VLSI Design Lecture 11: Wires
EE 447 VLSI Design Lecture 5: Wires. EE 447VLSI Design 6: Wires2 Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering.
Interconnect Optimizations. A scaling primer Ideal process scaling: –Device geometries shrink by S  = 0.7x) Device delay shrinks by s –Wire geometries.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 15: Interconnects & Wire Engineering Prof. Sherief Reda Division of Engineering,
11/5/2004EE 42 fall 2004 lecture 281 Lecture #28 PMOS LAST TIME: NMOS Electrical Model – NMOS physical structure: W and L and d ox, TODAY: PMOS –Physical.
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
מודלים של חיבורי ביניים מודלים חשמליים של חיבורי ביניים עבור מעגלי VLSI פרופ ’ יוסי שחם המחלקה לאלקטרוניקה פיזיקלית, אוניברסיטת ת ” א.
Lecture #24 Gates to circuits
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Interconnect Optimizations
Lecture #25a OUTLINE Interconnect modeling
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Interconnessioni e parassiti1 Progettazione di circuiti e sistemi VLSI Anno Accademico Lezione Interconnessioni e parassiti.
Introduction to CMOS VLSI Design Interconnect: wire.
Lecture 24: Interconnect parasitics
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 9.1 EE4800 CMOS Digital IC Design & Analysis Lecture 9 Interconnect Zhuo Feng.
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
The CMOS Inverter Slides adapted from:
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
Mary Jane Irwin ( ) Modified by Dr. George Engel (SIUE)
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
Ch 10 MOSFETs and MOS Digital Circuits
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
Crosstalk Analysis in UDSM technologies
Review: CMOS Inverter: Dynamic
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
1. Department of Electronics Engineering Sahand University of Technology NMOS inverter with an n-channel enhancement-mode mosfet with the gate connected.
1 Power Dissipation in CMOS Two Components contribute to the power dissipation: »Static Power Dissipation –Leakage current –Sub-threshold current »Dynamic.
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
Dec 2010VLSI Interconnects 1 Instructed by Shmuel Wimer Eng. School, Bar-Ilan University Credits: David Harris Harvey Mudd College (Some material copied/taken/adapted.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 7: September 22, 2010 Delay and RC Response.
Linear Delay Model In general the propagation delay of a gate can be written as: d = f + p –p is the delay due to intrinsic capacitance. –f is the effort.
CMOS Inverter: Dynamic V DD RnRn V out = 0 V in = V DD CLCL t pHL = f(R n, C L )  Transient, or dynamic, response determines the maximum speed at which.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 8: September 15, 2014 Delay and RC Response.
Inverter Chapter 5 The Inverter April 10, Inverter Objective of This Chapter  Use Inverter to know basic CMOS Circuits Operations  Watch for performance.
Lecture 14: Wires. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 14: Wires2 Outline  Introduction  Interconnect Modeling –Wire Resistance –Wire Capacitance.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect. n Switch logic.
Basics of Energy & Power Dissipation
VLSI CIRCUIT ELEMENTS - Prof. Rakesh K. Jha
Day 16: October 6, 2014 Inverter Performance
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 6: September 10, 2014 Restoration.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
Chapter 4: Secs ; Chapter 5: pp
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
EE141 © Digital Integrated Circuits 2nd Combinational Circuits 1 A few notes for your design  Finger and multiplier in schematic design  Parametric analysis.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 6: September 19, 2011 Restoration.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 4: September 12, 2012 Transistor Introduction.
1 Modeling and Optimization of VLSI Interconnect Lecture 2: Interconnect Delay Modeling Avinoam Kolodny Konstantin Moiseev.
CSE477 L27 System Interconnect.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 27: System Level Interconnect Mary Jane Irwin (
High Speed Properties of Digital Gates, Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology
Crosstalk If both a wire and its neighbor are switching at the same time, the direction of the switching affects the amount of charge to be delivered and.
Topics Driving long wires..
SIDDAGANGA INSTITUTE OF TECHNOLOGY
Mary Jane Irwin ( ) CSE477 VLSI Digital Circuits Fall 2002 Lecture 27: System Level Interconnect Mary Jane.
Wire Indctance Consequences of on-chip inductance include:
COPING WITH INTERCONNECT
Presentation transcript:

04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!

04/11/02EECS 3122 Last Time Wire resistivity gets worse as wires get smaller (reverse scaling, different than device delay) Power distribution becomes more difficult due to IR drops and higher current densities Lumped wire delay overestimates actual delay (distributed) –Because the entire capacitance is NOT charged through the full wire resistance Wire RC delay increases quadratically with line length as both R and C rise linearly –This has implications on how to reduce RC delay

04/11/02EECS 3123 Lecture Overview Combating RC delay –Repeaters Noise due to wires –Capacitive crosstalk; voltage glitches and increasing delay

04/11/02EECS 3124 Delay expressions, revisit We will typically have a load capacitance C L at node V out Assumes step input Vin

04/11/02EECS 3125 How to reduce RC delay Since RC delay is quadratic with length, reducing length is key Note: 2 2 = 4 and 1+1 = 2 but = 2 driverreceiver driver receiver L = 2 units

04/11/02EECS 3126 Repeaters Repeater A repeater is a strong driver (usually an inverter or pair of inverters for non-inversion) that is placed along a long RC line to “break up” the line and reduce delay We need to determine the optimal number of repeaters and their size based on wire and device delay properties

04/11/02EECS 3127 Repeater Derivation Eq of text

04/11/02EECS 3128 Repeaters Impact Repeaters are simply large inverters inserted along a global interconnect to reduce the RC delay

04/11/02EECS 3129 Repeaters vs. Cascaded Buffers Repeaters are used to drive long RC lines –Breaking up the quadratic dependence of delay on line length is the goal –Typically sized identically Cascaded buffers are used to drive large capacitive loads, where there is no parasitic resistance –We put all buffers at the beginning of the load –This would be pointless for a long RC wire since the wire RC delay would be unaffected and would dominate the total delay

04/11/02EECS Capacitive Crosstalk Noise Due to interwire capacitance, neighboring wires can interact with each other This is referred to as crosstalk noise

04/11/02EECS Cross-sectional view A quiet victim wire is imposed upon by one or more adjacent aggressor wires that are switching rapidly Charge injected across Cc results in a temporary (in static logic) glitch in voltage from the supply rail at the victim Dynamic logic is more susceptible since it’s non-restoring

04/11/02EECS Noise Pulses can be large Impact: forward-bias drain-substrate p-n junctions, enhance device stress, falsely switch state of fan-out

04/11/02EECS Simple Noise Model (Rubio) Model assumptions: no wire resistance considered, T r is rise time of aggressor signal, R v is the effective driver resistance of the victim, C v is the ground capacitance of the victim Vdd*Rv*Cc/Tr is the maximum possible noise  given by V=IR=Rv*Cc*dV/dt Last term relates to how easily the charge at the victim net can be removed through Rv relative to the transition time of aggressor where

04/11/02EECS Linear Resistance Assumption Slope here is fairly constant with Vgs=Vdd (operating point for NMOS holding output to GND) Inverse of this slope ~ Reff Replace the victim driver by a linear resistor Only problem – if noise gets too big, the approximation becomes worse (R grows)

04/11/02EECS How to Battle Capacitive Crosstalk Unrealistic – need tight packing to reduce chip area, cost

04/11/02EECS Delay Degradation CcCc - Impact of neighboring signal activity on switching delay - When neighboring lines switch in opposite direction of victim line, delay increases Miller Effect - Both terminals of capacitor are switched in opposite directions (0  V dd, V dd  0) - Effective voltage is doubled and additional charge is needed (from Q=CV) – just like overlap capacitances in devices

04/11/02EECS Lecture Summary Repeaters are inserted along long global wires to reduce the intrinsic wire RC delay –Common practice in mid-high performance designs today Crosstalk noise refers to injected charge across interwire (coupling) capacitance –A quiet wire can experience a voltage glitch as a result, leading to functional failure or worsened reliability –This can also increase delay, when adjacent lines switch at the same time in opposite directions