Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,

Slides:



Advertisements
Similar presentations
EVALUATION OF A CIRCUIT PATH DELAY TUNING TECHNIQUE FOR NANOMETER CMOS Advisor: Dr. Adit D. Singh Committee members: Dr. Vishwani D. Agrawal and Dr. Victor.
Advertisements

Dual-Threshold Voltage Design of Sub-threshold Circuits
Dynamic and Leakage Power Reduction in MTCMOS Circuits Using an Automated Efficient Gate Clustering Technique Mohab Anis, Shawki Areibi *, Mohamed Mahmoud.
October 2nd Karthik’s MS Defense DVF4: A Dual Vth Feedback Type 4-Transistor Level Converter Master’s Defense Karthik Naishathrala Jayaraman Department.
1 Power Management for High- speed Digital Systems Tao Zhao Electrical and Computing Engineering University of Idaho.
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
5/9/2015 A 32-bit ALU with Sleep Mode for Leakage Power Reduction Manish Kulkarni Department of Electrical and Computer Engineering Auburn University,
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
Dynamic SCAN Clock control In BIST Circuits
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Energy Source Lifetime Optimization for a Digital System through Power Management Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor.
Dual Voltage Design for Minimum Energy Using Gate Slack Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849, USA IEEE ICIT-SSST.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Architectural Power Management for Battery Lifetime Optimization in Portable Systems Department of Electrical and Computer Engineering Auburn University,
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
A Tutorial on Battery Simulation - Matching Power Source to Electronic System Manish Kulkarni and Vishwani D. Agrawal Auburn University Auburn, AL 36849,
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 12 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Nov. 8, 001Low-Power Design Digital Circuit Design for Minimum Transient Energy Vishwani D. Agrawal Circuits and Systems Research Lab, Agere Systems (Bell.
9/13/05ELEC / Lecture 61 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Fall 2006, Nov. 28 ELEC / Lecture 11 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Power Analysis: High-Level.
Micro-Architecture Techniques for Sensor Network Processors Amir Javidi EECS 598 Feb 25, 2010.
Ultra Low Power CMOS Design Ph.D. Dissertation Proposal Kyungseok Kim ECE Auburn Univ. Chair: Prof. Vishwani D. Agrawal Committee Members: Prof. Victor.
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/2011.
Fall 2006: Dec. 5 ELEC / Lecture 13 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani.
9/27/05ELEC / Lecture 91 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
Managing Performance and Efficiency of a Processor Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor Nelson Department of Electrical.
32-BIT ADDER FOR LOW VOLTAGE OPERATION WITH LEVEL CONVERTERS PRIYADHARSHINI S.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
An introduction to A Novel Cell Equalizer Topology for Plug-In Hybrid Electric Vehicle Energy Storage System Kun Zhuge
Copyright Agrawal, 2011ELEC5270/6270 Spr 15, Lecture 71 ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Energy Source Design Vishwani.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Low Power Architecture and Implementation of Multicore Design Khushboo Sheth, Kyungseok Kim Fan Wang, Siddharth Dantu ELEC6270 Low Power Design of Electronic.
MICAS Department of Electrical Engineering (ESAT) Design-In for EMC on digital circuit October 27th, 2005 AID–EMC: Low Emission Digital Circuit Design.
Using Cycle Efficiency as a System Designer Metric to Characterize an Embedded DSP and Compare Hard Core vs. Soft Core Advisor Dr. Vishwani D. Agrawal.
Canary SRAM Built in Self Test for SRAM VMIN Tracking
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
Optimization of PHEV/EV Battery Charging Lawrence Wang CURENT YSP Presentations RM :00-11:25 1.
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Patricia Gonzalez Divya Akella VLSI Class Project.
A Class presentation for VLSI course by : Maryam Homayouni
1 Very Low Voltage Operation of Benchmark Circuit c6288 Presented By: - Murali Dharan.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
11/15/05ELEC / Lecture 191 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
A Multi-Mode Selectable DC-DC Converter for Ultralow Power Circuits Ernie Bowden Doug Sorenson.
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
ELEC Digital Logic Circuits Fall 2014 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
Department of Electrical and Computer Engineering Auburn University, AL USA.
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
ELEC 7770 Advanced VLSI Design Spring 2016 Power and Ground
Optimization of PHEV/EV Battery Charging
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
Reduced Voltage Test Can be Faster!
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
Dual Mode Logic An approach for high speed and energy efficient design
Vishwani D. Agrawal James J. Danaher Professor
ELEC 5270/6270 Spring 2011 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
Circuit Design Techniques for Low Power DSPs
Energy Efficient Power Distribution on Many-Core SoC
Presentation transcript:

Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University, Auburn, AL /10/ Manish Kulkarni & Vishwani D. Agrawal Manish Kulkarni & Vishwani Agrawal

Outline Voltage and Clock Management (DVFS) – A typical battery-powered system Battery simulation model Battery lifetime and efficiency Problem statement Proposed method – Determine operating voltage – Determine minimum battery size – Extend battery size to satisfy required lifetime Minimum energy mode operation Summary References 6/10/20152Manish Kulkarni & Vishwani Agrawal

Dynamic Voltage and Frequency Scaling (DVFS) 6/10/2015Manish Kulkarni & Vishwani Agrawal3 DC – DC Voltage Converter [9] Electronic System 4.2 V to 3.5 V Lithium- ion Battery Decoupling Capacitor VDD GND Electronic systems are not always required to be in highest performance mode Frequency and voltage can be varied Multi-voltage domains can be created which can use DVFS or power shutdown

6/10/20154 Battery Simulation Model Lithium-ion battery, unit cell capacity: N = 1 (400mAh) Battery sizes, N = 2 (800mAh), N = 3 (1.2Ah), etc. Ref: M. Chen and G. A. Rincón-Mora, “Accurate Electrical Battery Model Capable of Predicting Runtime and I-V Performance,” IEEE Transactions on Energy Conversion, vol. 21, no. 2, pp. 504–511, June Manish Kulkarni & Vishwani Agrawal

6/10/20155 Spice Simulation of Battery Model 1008 Sec Manish Kulkarni & Vishwani Agrawal For a fixed current load

Battery Efficiency Consider a 1.2 Ah battery and I Batt = 3.6A Ideal lifetime = 1.2Ah/3.6A = 1/3 hour = 1200s Actual lifetime from simulation = 1008s Efficiency= (Actual lifetime)/(Ideal lifetime) =1008/1200 =0.84 or 84% 6/10/20156Manish Kulkarni & Vishwani Agrawal

Problem Statement Selecting a battery: Battery should be capable of supplying power (current) for required system performance (clock rate). Meeting the battery lifetime requirement; lifetime is the interval between replacement or recharge. Extend battery lifetime by DVFS. Find VDD and clock rate for maximum lifetime. 6/10/20157Manish Kulkarni & Vishwani Agrawal

6/10/20158 Step 1: Determine the operating voltage based on required performance. Step 2: Determine minimum battery size for efficiency ≥ 85% Step 3: Increase battery size over the minimum size to meet lifetime requirement. Step 4: Determine a lower performance mode with maximum lifetime. Proposed Method Manish Kulkarni & Vishwani Agrawal

Determining Operating Voltage 200,000 copies of 32-bit Ripple Carry Adder (RCA) – Makes it ≈ 70 million gate circuit Consider a performance requirement of 200MHz clock, critical path delay ≤ 5ns. Circuit simulation gives, VDD = 0.6V and I Batt = 477mA. 6/10/20159Manish Kulkarni & Vishwani Agrawal

6/10/ Spice Simulation of System 200 MHz 477 mA Manish Kulkarni & Vishwani Agrawal

6/10/ Determine Minimum Battery Size For required current (477 mA) & Battery Efficiency ≥ 85 % We Choose 400 mAh Battery Manish Kulkarni & Vishwani Agrawal

Battery Lifetime vs. VDD and Clock Rate A meaningful measure of the work done by the battery is its lifetime in terms of clock cycles. For the range of voltages with correct operation, i.e., VDD = 0.1V to 1.0V, we obtain circuit delay and clock rate from HSPICE simulation. Calculate battery lifetime in clock cycles for each VDD. Find VDD and clock rate for maximum battery lifetime. 6/10/201512Manish Kulkarni & Vishwani Agrawal

6/10/ Higher Circuit Speed, Lower Battery Efficiency Simulation of 400mAh Battery Over operating voltage range of 0.1 V to 1 V (MHz) Manish Kulkarni & Vishwani Agrawal DVFS 619 Giga Cycles or 50 minutes Higher Battery Lifetime, Lower Circuit Speed

Need Longer Battery Lifetime Suppose battery lifetime for the system is to be at least 3 hours. For smallest battery, size N = 1 (400mAh) I Batt = 477mA, Efficiency ≈ 98%, Lifetime = 0.98 x 0.4/0.477 = 0.82 hour For 3 hour lifetime, battery size N = 3/0.82 = 3.65 ≈ 4. We should use a 4 cell (1600mAh) battery. 6/10/201514Manish Kulkarni & Vishwani Agrawal

6/10/ Giga Cycles or 50 minutes 2540 Giga Cycles or 205 min ( > 3 Hrs) Meeting Lifetime Requirement (MHz) Manish Kulkarni & Vishwani Agrawal

Minimum Energy Operation 6/10/ Giga Cycles or 119 hours 6630 Giga Cycles or 476 hours (MHz) Manish Kulkarni & Vishwani Agrawal

Summary Battery size VDD = 0.6V, 200MHzVDD = 0.3V*, 3.86MHz Effici. % Lifetime Effici. % Lifetime NmAh x10 3 seconds X10 9 cycles x10 3 seconds X10 9 cycles /10/ > two-times 1.Battery size should match the current need and satisfy the lifetime requirement of the system: a)Undersize battery has poor efficiency. b)Oversize battery is bulky and expensive. 2.Minimum energy mode can significantly increase battery lifetime. 3.Another case of operation with a miniature (undersized) battery is discussed in the paper. * Operation of circuits in sub-threshold voltage range (below 200 mV) have been verified [10][11] Manish Kulkarni & Vishwani Agrawal

References 1.M. Pedram and Q. Wu, “Design Considerations for Battery-Powered Electronics,” Proc. 36th Design Automation Conference, June 1999, pp. 861– L. Benini, G. Castelli, A. Macii, E. Macii, M. Poncino, and R. Scarsi, “A Discrete-Time Battery Model for High-Level Power Estimation,” Proc. Conference on Design, Automation and Test in Europe, Mar. 2000, pp. 35–41. 3.M. Chen and G. A. Rincón-Mora, “Accurate Electrical Battery Model Capable of Predicting Runtime and I-V Performance,” IEEE Transactions on Energy Conversion, vol. 21, no. 2, pp. 504–511, June Simulation model: 45nm bulk CMOS, predictive technology model (PTM), 5. Simulator: Synopsys HSPICE, ds.pdf ds.pdf 7.M. Kulkarni and V. D. Agrawal, “Matching Power Source to Electronic System: A tutorial on battery simulation”, VLSI Design and Test Symposium, July M. Kulkarni, “Energy Source Lifetime Optimization for a Digital System through Power Management,” Master’s Thesis, Dec Joyce Kwong, Yogesh K. Ramadass, Naveen Verma, Anantha P. Chandrakasan, “A 65 nm Sub- Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter” IEEE Journal Of Solid- state Circuits, vol. 44, No. 1, January 2009, pp S. Hanson, B. Zhai, M. Seok, B. Cline, K. Zhou, M. Singhal, M. Minuth, J. Olson, L. Nazhandali, T. Austin, D. Sylvester, and D. S. Blaauw, “Performance and variability optimization strategies in a sub-200 mV, 3.5 pJ/inst, 11 nW subthreshold processor,” in Symp. VLSI Circuits Dig., Jun. 2007, pp. 152– B. Zhai, S. Hanson, D. Blaauw, and D. Sylvester, “A variation-tolerant sub-200mV 6-T subthreshold SRAM,” IEEE Journal of Solid-State Circuits, October 2008, pp /10/201518Manish Kulkarni & Vishwani Agrawal