ECE 124a/256c VLSI RC(L) Interconnect Models Forrest Brewer Wayne Burleson, Atul Maheshwari.

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

An International Technology Roadmap for Semiconductors
EE141 © Digital Integrated Circuits 2nd Wires 1 The Wires Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated Circuits: A Design.
Wires.
UCLA Modeling and Optimization for VLSI Layout Professor Lei He
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
Lecture 14: Wires. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 14: Wires2 Outline  Introduction  Interconnect Modeling –Wire Resistance –Wire Capacitance.
EE466: VLSI Design Lecture 11: Wires
EE 447 VLSI Design Lecture 5: Wires. EE 447VLSI Design 6: Wires2 Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering.
© Digital Integrated Circuits 2nd Inverter Impact of Interconnect  Interconnection  Fundamental limitation of Digital Technology at all scales  Classes.
Interconnect Optimizations. A scaling primer Ideal process scaling: –Device geometries shrink by S  = 0.7x) Device delay shrinks by s –Wire geometries.
The Wire Scaling has seen wire delays become a major concern whereas in previous technology nodes they were not even a secondary design issue. Wire parasitic.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 14: Interconnects Prof. Sherief Reda Division of Engineering, Brown University.
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Wire Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Interconnect Optimizations
Lecture #25a OUTLINE Interconnect modeling
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Introduction to CMOS VLSI Design Interconnect: wire.
Outline Noise Margins Transient Analysis Delay Estimation
Lecture 24: Interconnect parasitics
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 9.1 EE4800 CMOS Digital IC Design & Analysis Lecture 9 Interconnect Zhuo Feng.
Circuit characterization and Performance Estimation
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 3 ASIC.
ECE 424 – Introduction to VLSI Design
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
Crosstalk Analysis in UDSM technologies
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
CAD for Physical Design of VLSI Circuits
Elmore Delay, Logical Effort
Chapter 07 Electronic Analysis of CMOS Logic Gates
1 ECE 556 Design Automation of Digital Systems By Prof. Charlie Chung-Ping Chen ECE Department UW-Madison.
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
EE415 VLSI Design 1 The Wire [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Wire Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Physical Synthesis Ing. Pullini Antonio
© Digital Integrated Circuits 2nd Interconnect ECE 558/658 : Lecture 20 Interconnect Design (Chapter 9) Clock distribution (Chapter ) Atul Maheshwari.
Dec 2010VLSI Interconnects 1 Instructed by Shmuel Wimer Eng. School, Bar-Ilan University Credits: David Harris Harvey Mudd College (Some material copied/taken/adapted.
Proposed Roadmap Tables on STRJ-WG1
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 33: November 20, 2013 Crosstalk.
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Lecture 14: Wires. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 14: Wires2 Outline  Introduction  Interconnect Modeling –Wire Resistance –Wire Capacitance.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect. n Switch logic.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Caltech CS184 Winter DeHon 1 CS184a: Computer Architecture (Structure and Organization) Day 6: January 19, 2005 VLSI Scaling.
VLSI CIRCUIT ELEMENTS - Prof. Rakesh K. Jha
Interconnect/Via.
Solid-State Devices & Circuits
Chapter 4: Secs ; Chapter 5: pp
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
Caltech CS184 Winter DeHon 1 CS184a: Computer Architecture (Structure and Organization) Day 6: January 22, 2003 VLSI Scaling.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 Modeling and Optimization of VLSI Interconnect Lecture 2: Interconnect Delay Modeling Avinoam Kolodny Konstantin Moiseev.
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
Outline  Introduction  Wire Resistance  Wire Capacitance  Wire RC Delay  Wire Engineering  Repeaters  Summary.
Wires & wire delay Lecture 9 Tuesday September 27, 2016.
Circuit characterization and Performance Estimation
The Interconnect Delay Bottleneck.
Wire Indctance Consequences of on-chip inductance include:
COPING WITH INTERCONNECT
Applications of GTX Y. Cao, X. Huang, A.B. Kahng, F. Koushanfar, H. Lu, S. Muddu, D. Stroobandt and D. Sylvester Abstract The GTX (GSRC Technology Extrapolation)
THE INTERCONNECT.
Presentation transcript:

ECE 124a/256c VLSI RC(L) Interconnect Models Forrest Brewer Wayne Burleson, Atul Maheshwari

Readings H. B. Bakoglu, “ Circuits interconnects and packaging for VLSI ”, Addison Wesley W. J. Dally and J. W. Poulton, “ Digital Systems Engineering ”, Cambridge Press J. M. Rabaey, “ Digital Integrated circuits : A design perspective ”, Prentice Hall

Components of VLSI system Logic Functional Block Logic Gates Transistors Interconnects Power/ground and Clock Inter-block Signals Intra-block Signals L2 Cache L2 Cache Processor Core Cache Tags Router Logic

Delay with technology scaling This figure is from the ITRS Roadmap on interconnects

NTRS Roadmap Year Parameter Technology(nm) # of Transistors95.2M145M190M539M1523M4308M Clock Frequency1724 MHz1857 MHz2000 MHz2500 MHz3000 MHz3600 MHz Chip Area (mm 2 ) Wiring Levels Pitch(L/I/G)(nm)330/420/690295/375/620265/340/560185/240/390130/165/27595/115/190 A/R (L/I/G)1.6/2.2/2.81.6/2.3/2.81.7/2.4/2.81.9/2.5/2.92.1/2.7/3.02.3/2.9/3.1 Dielectric Const <1.5 This data is from the ITRS Roadmap on interconnects

Interconnect dimension trends in terms of IC generations These figures are derived from Design of High-Performance Microprocessor Circuits, A. Chandrakasan, W. Bowhill, F. Fox, IEEE, 2001

Rent’s rule Rent’s rule relates the I/O requirement to the number of gates as : As technology scales number of gates in a given area is increasing. More routing is required as technology scales.

Nature of the interconnect These figures are derived from Digital integrated circuit – a design perspective, J. Rabaey Prentice Hall and a tutorial in SLIP by Dirk Stroobandt respectively

Microprocessor Interconnect Global Interconnect S Local = S Technology S Global = S Die Source: Intel

VLSI Design Cycle Chip Specs Partitioning Floorplan RTL Timing Analysis Synthesis Timing met Layout Extraction Timing Analysis Timing met Chip Tape out

Early models Wire width  feature size Older technology had wide wires More cross-section area implies less resistance and more capacitance. Model wire only with capacitance L H W 

However… With scaling, width of wire reduced. Resistance of the wire no longer negligible. Wire not very long and a lumped RC is good enough approximation. L H W 

Interconnect Resistance Ohm’s Law: Resistance of wire  wire length (L) and 1/  cross-section(HW)  (resistivity) is the property of the material. L H W

Sheet Resistance Wire height (H) is constant for a technology. Sheet resistance ( R q ) is constant for each metal layer. Calculation of wire resistance is easy : multiply R q by L/W

Interconnect Capacitance Capacitance of a wire = f (Shape, Distance to surrounding wires, Distance to the substrate ) Estimating Capacitance is a matter of determining where the field lines go. To get an accurate estimate electric field solvers (2D or 3D) are used. E.g. Fastcap or Rafael When in doubt, typical wires have self capacitance between 1 and 3 pf/cm

Area Capacitance Dielectric Substrate Current W L H t di Electric Fields

Fringing Capacitance Conductor Fringing Fields  H w + w  W-H/2

Detailed Picture Is this much of detail required… How to compute this?

Interwire Capacitance

Wiring Capacitances (0.18  m) CapacitanceN+P+polym1m2m3m4m5m6 substrate N+ active P+ active8324 poly m m m m m Units: First number is area component (af/  m 2 ), second is fringing component (af/  m)

How to use fringe capacitance tables Estimation of wire Capacitance Where do field lines terminate? What fraction go where? E.g. 1cm of M1 over substrate:39af/  m 2, 38af/  m fringe If 200nm wide = 0.2um, 0.2um*10,000um=2,000*39af=78fF 1cm = 10,000um, fringe on both sides: 2*38af*10,000 = 760fF Total = 848fF/cm Over Poly 64aF, 69aF – nearly doubles (half the distance to conductor)

Importance of Resistance Delay of wire  to the resistance of the wire. Resistance means ohmic (IR) drop along the wire, reduces noise margin. IR drop a significant problem in the power lines where current density if high. Keep wires short, to reduce resistance. Contact resistance makes them vulnerable to electromigration.

Metal Resistivity

Importance of capacitance Delay of the wire is proportional to the capacitance charged. More capacitance means more dynamic power. Capacitance an increasing source of noise (coupling). Coupling make delay estimation hard.

Distributed model Wire can be modeled as a distributed RC line. As the number of elements increase distributed model becomes more accurate. For practical purposes wire-models with 5-10 elements are used to model the wire.

Elmore Delay… First order time constant at node is a sum of RC components. All the upstream resistances are taken into account. Thus each node contributes to the delay. Amount of contribution is the product of the cap at the node and the amount of resistance from source to the node.

Delay in distributed RC line Elmore analyzed the distributed model and came up with the figures for delay. V in V out R1R1 C1C1 R2R2 C2C2 12 R i-1 C i-1 i-1 RiRi CiCi i R N-1 C N-1 N-1 RNRN CNCN N Elmore derived this equation in 1948 way before VLSI !!!

Wire Model Assume: Wire modeled by N equal-length segments For large values of N:

Generalized Elmore delay Rubinstein, Penfield and Horowitz generalized Elmore delay This figure is derived from Digital integrated circuit – a design perspective, J. Rabaey Prentice Hall

Step-response of RC wire as a function of time and space

RC and flight-time for a wide bus above a plane and beneath orthogonally routed layer These figures are derived from Design of High-Performance Microprocessor Circuits, A. Chandrakasan, W. Bowhill, F. Fox, IEEE, 2001

Pi Model Pi Model of wire: Elmore Delay = RC/3+RC/6 = RC/2 agrees with distributed model RC Pi Model is often used in Spice instead of large number of segments as a reasonable approximation of distributed RC R/3 C/2

Driving an RC-line Delay for FET driven distributed RC – R s is equivalent source resistance (usually assumed R s = V dd /(2 I sat )) RC gives delay for exp(-1) change in output Scale time by ln(2) = 0.69 to get typical 50% CMOS gate threshold

Repeaters Repeaters are buffers or inverters inserted at regular intervals. Delay linearly proportional to the wire length Questions to be answered – Where and how big should the repeaters be ?

Repeater placement Delay of the interconnect is typically optimum when Delay wire = Delay buffer Closed form solutions for Repeater Number and Sizing Bakoglu and Meindl, 1985 (Classical) Adler and Friedman, 1998 (considering inductance) Nalamalpu and Burleson, 2000 (ramped waveforms) Chen Marek-Sadowska, Brewer, 2003 (short channel timing) Cong, 2004 (tapered wires)

Bakoglu and Meindl Model For a wire with k repeaters each of size h times minimum size inverter is given by: RoRo R int, C int CoCo

Bakoglu and Meindl… By setting dT/dk = 0 and dT/dh = 0, optimal values for k and h are obtained Substituting these back, delay is given by

Optimization: Lagrange Multipliers A general technique for multi-dimensional optimization Problem: A function f(x 1, x 2, …, x n ) to maximize subject to several constraints: g 1 (x 1, x 2, …, x n ) = 0, g 2 (x 1, x 2, …, x n )=0, …, g m (x 1, x 2, …,x n ) = 0 where m<n. Solution: The n-dimensional equation above plus the m constraints provide n+m equations in n+m variables (x i ’s and j ’s) Note: it is often useful to examine the functional forms of the lambdas – they are usually interesting.

Best Placement for repeaters C lat -C lat Staggering the inverters Avoiding the Miller cap by opposite going signals

Repeater Design Issues Delay-optimal repeaters are area and power hungry – use of sub-optimal insertion Optimal placement requires accurate modeling of interconnect. Optimal placement not always possible. Performance limited due to significant interconnect resistance. Source of noise – Supply and Substrate

With Scaling … 1 million repeaters in a 100nm technology. Consuming about 30W (40%) in 100nm technology. Need to look at alternatives!!! 606x Technology Generation(  m) Power(W) 1x10 6 2x10 6 3x10 6 4x10 6 5x10 6 # of Repeaters Repeaters + Wire Wires Only # Repeaters

Differential Transmission Limiting swing saves significant amount of power. Rejects common-mode noise. Coupling is reduced due to dipole cancellation O(n 3 ) Doubled wire density mv