Robert Hsieh/Slide 1 Technology Trends and Manufacturing Considerations for Leading Edge 3D Packaging Lithography Oct 16, 2014 Robert Hsieh, Warren Flack,

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

Assembly and Packaging TWG
July 14, 2010 San Francisco, California Marriott Hotel Assembly and Packaging.
An International Technology Roadmap for Semiconductors
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
ASE Flip-Chip Build-up Substrate Design Rules
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Advanced Manufacturing Choices
Tutorial on Subwavelength Lithography DAC 99
Wafer Level Packaging: A Foundry Perspective
ECE 6466 “IC Engineering” Dr. Wanda Wosik
Trench Effects in Copper Electroplating Student: Oleg Gurinovich Industry: Novellus Systems Advisor: Dr. Stacy Gleixner John Kelly, Jim Stimmell, Chiu.
SEM Magnification Calibration. Magnification Errors Proper calibration of the SEM scans (magnification) is primary to metrology. SEM Magnification requires.
John D. Williams, Wanjun Wang Dept. of Mechanical Engineering Louisiana State University 2508 CEBA Baton Rouge, LA Producing Ultra High Aspect Ratio.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
PERSISTENT SURVEILLANCE FOR PIPELINE PROTECTION AND THREAT INTERDICTION Micromachining of Inertial Confinement Fusion Fast Ignition Targets Michael Mauldin.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
Sample Devices for NAIL Thermal Imaging and Nanowire Projects Design and Fabrication Mead Mišić Selim Ünlü.
General Design Guidelines
Performance of the DZero Layer 0 Detector Marvin Johnson For the DZero Silicon Group.
CEA-LETI - NIKON Joint Development Program Update C. Lapeyre, S. Barnola, I. Servin, S. Gaugiran, S. Tedesco, L. Pain, A.J. Hazelton, V. Salvetat, M. McCallum.
Chip Carrier Package as an Alternative for Known Good Die
Status and outlook of the Medipix3 TSV project
3D chip and sensor Status of the VICTOR chip and associated sensor Bonding and interconnect of chip and sensor Input on sensor design and interconnection.
Lecture 4 Photolithography.
Microfabrication Nathaniel J. C. Libatique, Ph.D.
5x mixed with 25x Reduction 1.Draw mask, keeping 25x features within a 3mm square, within the traditional 14-15mm 5x die 2.When printing masks scale 25x.
1 Moore’s Law – the Z dimension Sergey Savastiouk, Ph.D. April 12, 2001.
Presentation for Advanced VLSI Course presented by:Shahab adin Rahmanian Instructor:Dr S. M.Fakhraie Major reference: 3D Interconnection and Packaging:
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) Figure 1.1 Diagram of a simple subtractive patterning process.
ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003.
3M Bonding Systems Division Adhesives for Electronics Reliability Study of Sub 100 Micron Pitch, Flex-to-ITO/glass Interconnection, Bonded with an Anisotropic.
Comparison of various TSV technology
Scatterfield Zero Order Imaging
An evaluation of HotSpot-3.0 block-based temperature model
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
II-Lithography Fall 2013 Prof. Marc Madou MSTB 120
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
The OK Fast & Flexible Solution: SCS Sheet Collating System SCS 3010 – Sheet Collating System 3010 Semi-automatic positioning and fixing system for.
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Nanolithography Lecture 15 G.J. Mankey
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
MIT Lincoln Laboratory NU Status-1 JAB 11/20/2015 Advanced Photodiode Development 7 April, 2000 James A. Burns ll.mit.edu.
Arun A. Aiyer and Tianheng Wang Frontier Semiconductor 2127 Ringwood Ave San Jose, CA USA.
IWLPC October 13-15, 2015 Ke Xiao, Sanjeev Singh, Holly Edmundson, John Allgair, Tim Johnson Nanometrics, Inc. Daniel Smith, Yudesh Ramnath Global Foundries.
Optimization of Through Si Via Last Lithography for 3D Packaging
Dual Chip Wafer Level CSP with Sintering Paste LGA
PHOTOLITHOGRAPHY STUDY FOR HIGH-DENSITY INTEGRATION TECHNOLOGIES
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
Fan Out WLP Technology Packaging as 2, 3D System in Packaging Solution
David Bailey Gluing. Silicon /pcb assembly Previously Using Sony Robot and precision dispenser Have established acceptable glue dot parameters Dot electrical.
Integration through Wafer-level Packaging Approach
LITHOGRAPHY IN THE TOP-DOWN PROCESS - BASICS
Section 2: Lithography Jaeger Chapter 2 EE143 – Ali Javey.
IPC Standard Surface Mount Requirements Automatic assembly considerations for surface mounted components are driven by pick-and- place machines.
Trieste, 8-10 November 1999 CMOS technology1 Design rules The limitations of the patterning process give rise to a set of mask design guidelines called.
Claudio Piemonte Firenze, oct RESMDD 04 Simulation, design, and manufacturing tests of single-type column 3D silicon detectors Claudio Piemonte.
IH2655 Seminar January 26, 2016 Electrical Characterization,B. Gunnar Malm
Date of download: 6/2/2016 Copyright © 2016 SPIE. All rights reserved. Metrology quality and capability association to the profitability. Figure Legend:
Optical InterLinks LLC (OIL)----- GuideLink ™ Polymer Waveguide Products Multichannel Monolithic Data Network Monitoring Taps Using OIL’s Polymer Waveguide.
Roughness and Electrical Resistivity of Thin Films Spencer Twining, Marion Titze, Ozgur Yavuzcetin University of Wisconsin – Whitewater, Department of.
Date of download: 9/26/2017 Copyright © ASME. All rights reserved.
Process integration 2: double sided processing, design rules, measurements
Integrated Circuits.
Technology advancement in computer architecture
Chapter 1 & Chapter 3.
LITHOGRAPHY Lithography is the process of imprinting a geometric pattern from a mask onto a thin layer of material called a resist which is a radiation.
Junwei Bao, Costas Spanos
Presentation transcript:

Robert Hsieh/Slide 1 Technology Trends and Manufacturing Considerations for Leading Edge 3D Packaging Lithography Oct 16, 2014 Robert Hsieh, Warren Flack, Manish Ranjan Ultratech, Inc

Outline Introduction Reconstituted wafers Overlay, field size, and mapping Substrate handing Interposer enabling technologies Lithography for Through Silicon Via Large Area Interposers Microbump Process Conclusions Robert Hsieh/Slide 2

Introduction To meet increasing levels of functionality and integration advanced packaging will need to support increased interconnect count and density Smaller CD Larger device area 3D structures Approaches for incorporation of advanced structures Reconstituted wafer (Fan-Out) Silicon interposers with through silicon via technology Robert Hsieh/Slide 3

Notes Market Growth Potential Timing FUTURE HIGH LOW Low cost silicon interposer solutions along with open collaboration models are expected to drive future market demand Timing of adoption depends on thermal management, supply chain and yield solutions Estimated wafers volume for 2017 Fan Out WLP Si Interposer Demand driven by server applications and potential adoption for mobile market segment Memory Module Mixed Device Integration Segment Growth Drivers (3D Packaging) NOW Source: Tech Search, Internal Estimates 1.3M WPY 900K WPY 350K WPY Robert Hsieh/Slide 4

Reconstituted Wafer Highlights  Die placement is non-systematic and printed field will have different registration errors  Critical concern is overlay to support tight design rule  Alignment mode and lithography field size considerations Highlights  Die placement is non-systematic and printed field will have different registration errors  Critical concern is overlay to support tight design rule  Alignment mode and lithography field size considerations Reconstituted Wafer (Fan-Out) Robert Hsieh/Slide 5

EGA overlay is not strongly affected by field size Site-by-Site (SXS) overlay improves with smaller field size selection EGA overlay is not strongly affected by field size Site-by-Site (SXS) overlay improves with smaller field size selection Reconstituted Wafer Overlay 5x3 Array 2x2 Array1x1 Array Overlay error depends on alignment method and size of exposed field device die Exposure field size Robert Hsieh/Slide 6 Results from “Lithography Challenges and Considerations for Emerging Fan-Out Wafer Level Packaging Applications”, IWLPC Paper

Multiple Zone EGA  Separate zone EGA. Useful for separate pick and place gantry heads that creates an array shift from one tool to the other.  Useful for non-linear die drift caused by thermal processes  US Patent: Multiple Zone EGA  Separate zone EGA. Useful for separate pick and place gantry heads that creates an array shift from one tool to the other.  Useful for non-linear die drift caused by thermal processes  US Patent: Reconstituted Wafer Overlay ZONE 1 ZONE 2 Overlay can be enhanced by dividing wafers in to alignment zones Robert Hsieh/Slide 7

Multiple Zone EGA Overlay  Dual zone mapping giving a tighter, more Gaussian shape to the residual error distribution 3sigma = 26.3  m3sigma = 23.8  m 3sigma = 13.6  m 3sigma = 17.7  m Results from “Lithography Technique to Reduce the Alignment Errors ”, IWLPC Paper Robert Hsieh/Slide 8

Warped Wafer Handling Composite construction of reconstituted wafers results in more wafer warpage and less stiffness (sag) Wafer automation must be capable of handling warped substrates reliably and accurately End effector with suction cups Chuck with enhanced flow and multiple vacuum zones Increased height of lift pins to provide sufficient wafer clearance for robotic handling Robert Hsieh/Slide 9

Focus control on non-flat substrates For non-flat wafer surface special focus modes can be used to enhance focus control Grid Focus Mode  Generates focus map of entire wafer before exposure  Determines local tilt and applies corrections during exposure Grid Focus Mode  Generates focus map of entire wafer before exposure  Determines local tilt and applies corrections during exposure Red dots are field corner borders where focus is measured At wafer edge additional focus measurements are made Robert Hsieh/Slide 10

Highlights  Si interposer technology is expected to gain significant traction for leading edge devices  Improved device performance of FPGA and GPU devices is expected to drive requirements Highlights  Si interposer technology is expected to gain significant traction for leading edge devices  Improved device performance of FPGA and GPU devices is expected to drive requirements Silicon Interposer Si Interposer Structure Robert Hsieh/Slide 11

Si Interposer Enabling Technologies Implementing silicon interposer requires development of new process technologies Embedded target alignment for Through Silicon Via IR Alignment system Metrology Large area devices Field stitching Microbump Attach dies to the interposer Robert Hsieh/Slide 12

Embedded target alignment For Via Last process to form Through Silicon Vias the device layers and alignment targets are viewed through silicon Process requires thinning the silicon with uniform thickness and polished surface for best image contrast Robert Hsieh/Slide 13

Stepper Self Metrology for Dual Side Alignment Front side metrology silicon carrier camera photoresist Z offset Alignment system Back side metrology silicon carrier camera photoresist IR transmits through silicon Top directed illumination allows for flexible placement of targets on the wafer Off axis IR camera implemented on stepper Measure XY positions of two features at different Z heights 200 micron thick silicon Results from “Verification of Back-To-Front Side Alignment for Advanced Packaging”, IWLPC Paper Robert Hsieh/Slide 14

DSA Stepper Self Metrology Embedded test wafers prepared using a copper damascene process Wafers were thinned to thicknesses of 100, 200 and 300 microns and bonded to a carrier Wafers were exposed on an AP300 stepper with DSA Stepper self metrology was performed to collect data on five sites per field in eleven fields for a total of 55 sites per wafer Mean plus three sigma was less than 1.0 micron for all three thicknesses of Si Results from “Verification of Back-To-Front Side Alignment for Advanced Packaging”, IWLPC Paper Robert Hsieh/Slide 15

Large Area Interposer Lithography Since large area interposer may be larger than the stepper field, the pattern can be constructed from multiple sub-fields Test interposer design consists of a top half and bottom half For stepper patterning both top and bottom sub- fields can fit onto a single 1X reticle Wafer layout with stitched interposer Standard configuration with two stepper fields can support up to 52 x 52 mm maximum square interposer Robert Hsieh/Slide 16

Stitching Performance Test Structures Serpentine/Comb structure to test integrity of lines crossing the stitch varying line/space pitch arranged from left to right, with smallest CD of 1.5 µm Line/Space Line and Space structure with varying line/space pitch arranged from left to right, with smallest CD of 1.5 µm Line/Space Stitch boundary contains multiple sets having different Y overlaps. For this set the top label denotes a 0.5 µm overlap. Variable X offsets can be intentionally introduced between the top and bottom half Top half exposure Bottom half exposure stitch line denotes sub-field boundary Results from “Large Area Interposer Lithography”, ECTC Paper Robert Hsieh/Slide 17

Electroplated Cu Across the Stitch Top down view of Cu plated metal lines (a) before Cu seed etch and (b) after seed etch, for 3 µm pitch, line and space pattern Line edge roughness becomes significant percentage of linewidth for smaller CDs Line edge roughness can be reduced by using very thin seed layer Results from “Large Area Interposer Lithography”, ECTC Paper Top half exposure Bottom half exposure Stitch line Robert Hsieh/Slide 18

Intentional Offset Stitching Tests 3 µm pitch line/space structure 3.5 μm thick positive photoresist Y overlap varied between ±1 μm X stitch offset set at µm Resist Top half exposure Bottom half exposure Results from “Large Area Interposer Lithography”, ECTC Paper Stitch line Robert Hsieh/Slide 19

Electroplated Cu Structures Across Stitch Cu electroplated serpentine/comb structure with a 3 µm pitch with no offsets. Visual inspection reveals no line breaks or shorts in the structure. SEM of electroplated metal lines with introduced lateral offset at the field stitch of 0.25 µm. Pitch = 6 µm 4 µm line, 2 µm space Pitch = 4 µmPitch = 3 µm Results from “Large Area Interposer Lithography”, ECTC Paper Robert Hsieh/Slide 20

Modeling of Field Stitching Simulated conditions for stitching line with square ends and 45 degree tapered ends Varied lateral offset and vertical overlap Top and bottom exposures are independently simulated Top half exposure Bottom half exposure Top half exposure Bottom half exposure Results from “Large Area Interposer Lithography”, ECTC Paper Square Line EndsTapered Line Ends Robert Hsieh/Slide 21

+10% -10% nominal CD Simulated Stitch Performance for Square and Tapered Line Ends Data from Prolith modeling of JSR IX845 resist for nominal linewidth of 1.5 µm. The overlap range is 25% larger for the tapered line end relative to the square line end for a ±10% CD tolerance Results from “Large Area Interposer Lithography”, ECTC Paper Robert Hsieh/Slide 22

Microbump Lithography Application includes 3D die-to-die and die-to-wafer stacking and interposers. Maintaining lithographic process control for microbumping is challenging due to the small bump diameters and high aspect ratios. Microbumps are formed by electroplating Cu inside 3.5 µm vias printed in 13.2 µm thick photoresist 3.5 micron microbump Robert Hsieh/Slide 23

Microbump Experimental Results 3.5 µm CD with 10.0 mm pitch, AZ EM 10XT resist thickness is 13.2 mm Process requirements are bottom CD of 3.5 µm ± 10% and sidewall angle > 87 degrees CD data collected by top-down SEM and sidewall angle collected by cross-sectional SEM Process Window DOF is 10.0  mCross Section at Focus = 0  m Results from “Microbump Lithography for 3D Stacking Applications”, IWLPC Paper Robert Hsieh/Slide 24

Microbump Lithography Simulation Process Window Cross Section at Focus = 0  m Simulations using KLA-Tencor Prolith (version ) 3.5  m CD with 10.0  m pitch, resist thickness is 13.2  m Process requirements are bottom CD of 3.5  m ± 10% and sidewall angle > 87 degrees Results from “Microbump Lithography for 3D Stacking Applications”, IWLPC Paper Robert Hsieh/Slide 25

Microbump Process Scalability 2.5  m CD DOF is 9.9  m 2.4  m CD with 0.1  m bias DOF =12.3  m Photoresist simulation can be used to predict lithographic performance 2.5  m CD with 7.0  m pitch, resist thickness is 10.0  m Process requirements are bottom CD of 3.5  m ± 10% and sidewall angle > 87 degrees Results from “Microbump Lithography for 3D Stacking Applications”, IWLPC Paper Robert Hsieh/Slide 26

Conclusions Lithography capability is critical for extending advanced packaging technologies Reconstituted Wafers Importance of EGA versus Site-by-Site alignment for throughput Multiple zone EGA developed for improved overlay while maintaining high throughput Warped wafer handling and focusing modes for non-flat wafers Silicon Interposer Technology Back-to-Front Side Alignment and Metrology Alignment to embedded targets can be monitored using stepper self metrology Large Area Interposers Experimentally investigated patterning copper lines with lateral dimensions as small as 1.5 µm line/space in a vertically stitched large area interposer Microbump Lithography Experimentally investigated 3.5  m microbumps with a 10.0  m pitch Used resist modeling to predict the performance of 2.5 mm microbumps and ways to optimize the process window Robert Hsieh/Slide 27