Logic Process Development at Intel

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

1 PIDS 7/1/01 18 July 2001 Work In Progress – Not for Publication P. Zeitzoff Contributors: J. Hutchby, P. Fang, G. Bourianoff, J. Chung, Y. Hokari, J.
DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference ITRS FEP Challenges Continued scaling will require the introduction of new materials.
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
by Alexander Glavtchev
An International Technology Roadmap for Semiconductors
Metal Oxide Semiconductor Field Effect Transistors
Derek Wright Monday, March 7th, 2005
ECE 6466 “IC Engineering” Dr. Wanda Wosik
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
High-K Dielectrics The Future of Silicon Transistors
ITRS 2003 Front End Processing Challenges David J. Mountain *Gate Stack Leff Control *Memory Cells Dopant Control Contacts *Starting Material FEP Grand.
Jan M. Rabaey Digital Integrated Circuits A Design Perspective.
Nanoscale structures in Integrated Circuits By Edward Mulimba.
Miniaturizing Computers: Evolution of Processors
Design and Implementation of VLSI Systems (EN0160)
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 18: Scaling Theory Prof. Sherief Reda Division of Engineering, Brown University.
Lecture #25a OUTLINE Interconnect modeling
EE314 Basic EE II Silicon Technology [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Next Generation Integrated Circuits 300 mm wafers Copper metallization Low-K dielectric under interconnect lines High-K dielectric under gate Silicon-on-insulator.
CHIPS & NANOTECHNOLOGY
MSE-630 Integrated Circuits and the Future of Semiconductors.
Optional Reading: Pierret 4; Hu 3
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
2015/9/4System Arch 2008 (Fire Tom Wada) 1 SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada.
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
Keeping Up with Moore’s Law Who the heck is this Moore guy anyway? Gordon E. Moore was the cofounder of Intel Corporation Gordon E. Moore was the cofounder.
Advanced Process Integration
CAD for Physical Design of VLSI Circuits
Figure 9.1. Use of silicon oxide as a masking layer during diffusion of dopants.
® 1 VLSI Design Challenges for Gigascale Integration Shekhar Borkar Intel Corp. October 25, 2005.
Limitations of Digital Computation William Trapanese Richard Wong.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
1 BULK Si (100) VALENCE BAND STRUCTURE UNDER STRAIN Sagar Suthram Computational Nanoelectronics Class Project
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
Intel Process Technology Gaps June 2003 Intel Confidential Paula Goldschmidt IE- SBD Mgr.
VLSI: A Look in the Past, Present and Future Basic building block is the transistor. –Bipolar Junction Transistor (BJT), reliable, less noisy and more.
SEMICONDUCTOR TECHNOLOGY -CMOS-
W E L C O M E. T R I G A T E T R A N S I S T O R.
Semiconductor Industry Milestones
IC Fabrication/Process
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
Master in Microelectronics technology and Manufacturing Management E. Sicard - introducting 90nm 4. Introducing 90nm technology.
45nm Processors & Beyond A Presentation On By Ajaypal Singh Dhillon Kurukshetra university.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
Trends in IC technology and design J. Christiansen CERN - EP/MIC
Moore’s Law and Its Future Mark Clements. 15/02/2007EADS 2 This Week – Moore’s Law History of Transistors and circuits The Integrated circuit manufacturing.
Scaling I Mohammad Sharifkhani. Reading Text book II (pp. 123)
Silicon Design Page 1 The Creation of a New Computer Chip.
Overview of VLSI 魏凱城 彰化師範大學資工系. VLSI  Very-Large-Scale Integration Today’s complex VLSI chips  The number of transistors has exceeded 120 million 
EE 4611 INTRODUCTION, 13 January 2016 Semiconductor Industry Milestones Very pure silicon and germanium were manufactured PN junction diodes.
The Fate of Silicon Technology: Silicon Transistors Maria Bucukovska Scott Crawford Everett Comfort.
Modern Processors.  Desktop processors  Notebook processors  Server and workstation processors  Embedded and communications processors  Internet.
Prof. Jang-Ung Park (박장웅)
Introduction to VLSI ASIC Design and Technology
Inc. 32 nm fabrication process and Intel SpeedStep.
by Alexander Glavtchev
TECHNOLOGY TRENDS.
Technology advancement in computer architecture
EE 4611 INTRODUCTION 21 January 2015 Semiconductor Industry Milestones
VLSI Design MOSFET Scaling and CMOS Latch Up
INTRODUCTION: MD. SHAFIQUL ISLAM ROLL: REGI:
Overview of VLSI 魏凱城 彰化師範大學資工系.
Reading (Rabaey et al.): Sections 3.5, 5.6
Technology scaling Currently, technology scaling has a threefold objective: Reduce the gate delay by 30% (43% increase in frequency) Double the transistor.
CSE 87 Fall 2007 Chips and Chip Making
Presentation transcript:

Logic Process Development at Intel PhD Fellowship Forum October 21, 2004 Logic Process Development at Intel Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Logic Technology Development

Technology & Manufacturing Intel Organization Board of Directors A. Grove Executive Office C. Barrett, P. Otellini Enterprise Platforms Desktop Platforms Mobile Platforms Intel Comm. Group Software & Solutions Technology & Manufacturing Sales & Marketing Corporate Comm. Corporate Technology Finance Legal Intel Capital

LTD Organization Logic Technology Development Components Research Portland Technology Development Manufacturing Ramp Advanced Research Develop & Ramp Manuf. Ramp LTD Design Technology Computer Aided Design Sort/Test Technology Development Lead Product Design Modeling & Simulations CPU Sort and Test Research, development, design and early manufacturing all under one group

LTD Charter Develop Intel's leading edge logic technologies Ensure leadership in performance and manufacturability Design lead high-volume microprocessor product Ensure early product introduction Process and product design are jointly optimized Produce initial volumes of product shipments Learn how to develop and manufacture new technologies Transfer technologies to high-volume fabs using Copy Exactly! methodology High volume manufacturing fabs come up with same performance and yield as development fab

Microprocessor Transistor Count

Feature Size Scaling

Transistor Gate Length Scaling

Logic Technology Evolution Process Name P858 Px60 P1262 P1264 P1266 P1268 1st Production 1999 2001 2003 2005 2007 2009 Lithography 0.18mm 0.13mm 90nm 65nm 45nm 32nm Gate Length 0.13mm 0.07mm 50nm 35nm 30nm 25nm Wafer (mm) 200 200/300 300 300 300 300 Manufacturing Fabs Development PTD Research CR

90 nm Generation Transistor NiSi Layer 1.2 nm SiO2 Gate Oxide Strained Silicon 50nm

Polysilicon Gate Electrode 1.2 nm Gate Oxide Polysilicon Gate Electrode 1.2 nm SiO2 Silicon Substrate Gate oxide is less than 5 atomic layers thick

Intel’s Strained Silicon Technology Selective SiGe S-D Tensile Si3N4 Cap PMOS Uniaxial Compressive Strain NMOS Uniaxial Tensile Strain

Strained Silicon Technology High Stress Film SiGe SiGe PMOS NMOS ~30% drive current increase ~10% drive current increase

90 nm Generation Interconnects Low-k CDO Dielectric Copper Interconnects

Low-k Dielectric New low-k carbon doped oxide (CDO) used for interconnect dielectric CDO provides ~20% capacitance reduction compared to SiO2 Reduced interconnect capacitance provides improved performance and lower chip power CDO SiN Cu

90 nm Pentium® Microprocessors Prescott CPU Dothan CPU 125 million transistors 140 million transistors

90 nm Itanium® Microprocessor Montecito CPU 1.72 billion transistors 24 MByte cache Dual core

90 nm Wafer Fabs 90 nm process now running in high volume manufacturing in three 300 mm wafer fabs: D1C - Hillsboro, Oregon F11X - Albuquerque, New Mexico F24 - Leixlip, Ireland All factories using Copy Exactly! methodology for matched yield and performance

Yield Improvement Trend 130nm 130nm 90nm 200mm 300mm 300mm 90 nm defect reduction rate is fastest ever

CPU Shipments Transitioning to 90 nm Total CPU Shipments Estimate Intel 90 nm CPU shipments exceeded 130 nm CPU shipments in 3Q ’04

Logic Technology Evolution Process Name P858 Px60 P1262 P1264 P1266 P1268 1st Production 1999 2001 2003 2005 2007 2009 Lithography 0.18mm 0.13mm 90nm 65nm 45nm 32nm Gate Length 0.13mm 0.07mm 50nm 35nm 30nm 25nm Wafer (mm) 200 200/300 300 300 300 300

Intel’s Strained Silicon Technology 65 nm transistors use same basic strain technique introduced on 90 nm transistors The strain technique is further enhanced on the 65 nm process to provide increased performance At the 65 nm generation, strained silicon improves performance ~30% relative to non-strain S D G

Transistor Performance vs. Leakage Better Better Improved transistors provide increased drive current (ION) at constant leakage current (IOFF)

Improved Transistor Performance 90 nm transistors have continued to improve

Improved Transistor Performance 65 nm transistors increase drive current 10-15% with enhanced strain

Reduced Transistor Leakage 65 nm transistors can alternatively provide ~4x leakage reduction

Lithography Challenge 130nm 90nm Feature Size 65nm

Lithography Challenge Minimum feature size is scaling faster than lithography wavelength

Lithography Challenge Advanced photo mask techniques help to bridge the gap

Alternating Phase Shift Masks Side View 35 nm line Chrome Chrome 0° 180° Glass Glass Silicon Substrate Printed Lines on Si Wafer Standard Mask Phase Shift Mask APSM enables patterning 35 nm lines using 193 nm wavelength light APSM requires new mask making technology, done in-house at Intel

65 nm Generation Interconnects Cu Line Cu Via CDO Low-k Dielectric

Intel 6-T SRAM Cell Size Trend Transistor density continues to double every 2 years

0.57 mm2 6-T SRAM Cell Ultra-small SRAM cell used in 65 nm process packs six transistors in an area of 0.57 mm2 This cell is optimized for both small area and ability to operate large SRAM arrays at low voltage

70 Mbit SRAM on 65 nm Process 0.57 mm2 cell size 110 mm2 chip size >0.5 billion transistors Incorporates all process features needed for 65 nm logic products Used to debug and demonstrate process yield, performance and reliability

65 nm Wafer Fabs Process development site Manufacturing sites D1D, Oregon Intel's largest individual clean room 176,000 sq ft (roughly the size of 3.5 football fields) Manufacturing sites F12, Arizona F24, Ireland

Scaling Gets Tougher at Smaller Dimensions

Scaling Gets Tougher at Smaller Dimensions Intel continues to develop and implement new materials and structures to meet the challenge

Scaling Gets Tougher at Smaller Dimensions Intel continues to develop and implement new materials and structures to meet the challenge

For further information on Intel's silicon technology, please visit the Silicon Showcase at: www.intel.com/research/silicon