VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS 8501 1.

Slides:



Advertisements
Similar presentations
ITRS December 2003, Hsin-Chu Taiwan How Much Variability Can Designers Tolerate? Andrew B. Kahng ITRS Design ITWG December 1, 2003.
Advertisements

University of Toronto Minimization of Delay Sensitivity to Process Induced Vth Variations Georges Nabaa Farid N. Najm University of Toronto
Tunable Sensors for Process-Aware Voltage Scaling
Thank you for your introduction.
Slide 1 Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed- Signal Circuits by Reusing Early-Stage Data Fa Wang*, Wangyang Zhang*,
Slides based on Kewal Saluja
Power Reduction Techniques For Microprocessor Systems
COMPARISON OF ADAPTIVE VOLTAGE/FREQUENCY SCALING AND ASYNCHRONOUS PROCESSOR ARCHITECTURES FOR NEURAL SPIKE SORTING J. Leverett A. Pratt R. Hochman May.
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Clock Design Adopted from David Harris of Harvey Mudd College.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
- Sam Ganzfried - Ryan Sukauye - Aniket Ponkshe. Outline Effects of asymmetry and how to handle them Design Space Exploration for Core Architecture Accelerating.
Subthreshold Logic Energy Minimization with Application- Driven Performance EE241 Final Project Will Biederman Dan Yeager.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
A Defect Tolerant and Performance Tunable Gate Architecture for End-of-Roadmap CMOS Adit D. Singh Electrical and Computer Engineering, Auburn University.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Automated Design.
1 Introduction Background: CS 3810 or equivalent, based on Hennessy and Patterson’s Computer Organization and Design Text for CS/EE 6810: Hennessy and.
The CMOS Inverter Slides adapted from:
Practical Aspects of Logic Gates COE 202 Digital Logic Design Dr. Aiman El-Maleh College of Computer Sciences and Engineering King Fahd University of Petroleum.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Logic Optimization Mohammad Sharifkhani. Reading Textbook II, Chapters 5 and 6 (parts related to power and speed.) Following Papers: –Nose, Sakurai, 2000.
11 1 Process Variation in Near-threshold Wide SIMD Architectures Sangwon Seo 1, Ronald G. Dreslinski 1, Mark Woh 1, Yongjun Park 1, Chaitali Chakrabarti.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
UW-Madison Computer Sciences Vertical Research Group© 2010 A Unified Model for Timing Speculation: Evaluating the Impact of Technology Scaling, CMOS Design.
Bit Error Probability Evaluation of RO PUFs Qinglong Zhang, Zongbin Liu, Cunqing Ma and Jiwu Jing Institute of Information Engineering, CAS, Beijing, China.
Dept. of Computer Science, UC Irvine
Modeling and Estimation of Full-Chip Leakage Current Considering Within- Die Correlations Khaled R. Heloue, Navid Azizi, Farid N. Najm University of Toronto.
Variation Aware Application Scheduling in Multi-core Systems Lavanya Subramanian, Aman Kumar Carnegie Mellon University {lsubrama,
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Washington State University
Low Power – High Speed MCML Circuits (II)
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
"A probabilistic approach to clock cycle prediction" A probabilistic approach to clock cycle prediction J. Dambre, D. Stroobandt and J. Van Campenhout.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
1 A Cost-effective Substantial- impact-filter Based Method to Tolerate Voltage Emergencies Songjun Pan 1,2, Yu Hu 1, Xing Hu 1,2, and Xiaowei Li 1 1 Key.
LA-LRU: A Latency-Aware Replacement Policy for Variation Tolerant Caches Aarul Jain, Cambridge Silicon Radio, Phoenix Aviral Shrivastava, Arizona State.
Leakage reduction techniques Three major leakage current components 1. Gate leakage ; ~ Vdd 4 2. Subthreshold ; ~ Vdd 3 3. P/N junction.
Outline Introduction: BTI Aging and AVS Signoff Problem
STA with Variation 1. 2 Corner Analysis PRCA (Process Corner Analysis):  Takes 1.nominal values of process parameters 2.and a delta for each parameter.
Basics of Energy & Power Dissipation
Enabling System-Level Modeling of Variation-Induced Faults in Networks-on-Chips Konstantinos Aisopos (Princeton, MIT) Chia-Hsin Owen Chen (MIT) Li-Shiuan.
Variation-Tolerant Circuits: Circuit Solutions and Techniques Jim Tschanz, Keith Bowman, and Vivek De Microprocessor Technology Lab Intel Corporation,
Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo.
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Bi-CMOS Prakash B.
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
Patricia Gonzalez Divya Akella VLSI Class Project.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
Department of Electrical and Computer Engineering University of Wisconsin - Madison Optimizing Total Power of Many-core Processors Considering Voltage.
University of Toronto,Toronto, Ontario, Canada 1 Circuit Research Labs, Intel Corporation, Hillsboro, OR Variations-Aware Low-Power Design with Voltage.
CS203 – Advanced Computer Architecture
PROCEED: Pareto Optimization-based Circuit-level Evaluation Methodology for Emerging Devices Shaodi Wang, Andrew Pan, Chi-On Chui and Puneet Gupta Department.
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
YASHWANT SINGH, D. BOOLCHANDANI
Temperature and Power Management
Supervised Learning Based Model for Predicting Variability-Induced Timing Errors Xun Jiao, Abbas Rahimi, Balakrishnan Narayanaswamy, Hamed Fatemi, Jose.
Challenges in Nanoelectronics: Process Variability
Computer Architecture Lecture 4 17th May, 2006
Circuit Design Techniques for Low Power DSPs
Impact of Parameter Variations on Multi-core chips
Abbas Rahimi‡, Luca Benini†, and Rajesh Gupta‡ ‡CSE, UC San Diego
Parametric Yield Estimation Considering Leakage Variability Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester Present by Fengbo Ren Apr. 30.
Presentation transcript:

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS

Parameter Variation Deviation of process, voltage and temperature values from specifications Technology scaling beyond 90nm => higher levels of device parameter variations => design problem from deterministic to probabilistic Key process parameters: V th and L eff ▫Determine transistor and gate speeds V th variation impacts: ▫Frequency, leakage power Variation => some sections of chip are slower than others => corresponding circuits suffer timing errors Lose benefits from scaling to a technology generation 2

The clock cycle of a chip is determined by the delay of its longest path, usually referred to as the critical path 3 Cross-section of a MOSFET

4 Source: Impact of V th and L eff

Impact of process variation on processor frequency 5

Varius To study parameter variation affects timing errors in high-performance processors ▫A novel model for process variation  Within-die parameter variation (WID) ▫A novel model for timing errors 6

Process Variation Model Systematic variation ▫Exhibits spatial correlation ▫Assumptions:  position independence, isotropy ▫Spherical model - initially linear - then tapers off to zero [range] - no correlation at this distance 7

8 They finally assume phi = 0.5

Random variation ▫Level of individual transistors ▫Assumption: V th and L eff normally distributed with zero mean, uncorrelated Final σ and ▫Total WID variation is normally distributed, so = ½ 9 Assumptions

VATS - Model for variation-induced timing errors in processor pipelines Pdf – probability density function All paths that have become longer than 1 generate errors P E – probability of error 10 Cdf – cumulative density function

Timing errors in logic 11 D varlogic distribution Cdf varlogic Error rate

Timing errors in SRAM Memory 12 More errors as paths fail First path fails Distribution

Validation nm process

Validation 1.Generate Vth and Leff variation map 2.Apply timing error model to get error rate vs. frequency for each pipeline stage 14

How Varius is used Variation-Aware Dynamic Voltage/Frequency Scaling - Herbert et al : Vth and Leff are generated and the values are used to determine the maximum frequency and subthreshold leakage of each core across Vdd and temperature. Variability-aware schemes maintain significant improvement of power/throughput over the variability-unaware ones, upto 9.9% Maestro: Orchestrating Lifetime Reliability in Chip Multiprocessors - Feng et al : Showed that for CMPs without damage profiles, temperature sensors and performance counters are inadequate in environment with significant process variations, so they propose low-level damage sensors EVAL: Utilizing Processors with Variation-Induced Timing Errors – Sarangi et al : design for closer to nominal values, and provide some transistor budget to tolerate unavoidable variation induced errors Facelift: Hiding and Slowing Down Aging in Multicores - Tiwari et al : determine how variation impacts the delay of each gate of each critical path. The slowest of the critical paths in a processor determines the processor frequency. 15

Related Work, Contribution Delay of an inverter from V th and L eff Mukhopadhyay et al. proposed models for timing errors in SRAM memory due to random Vth variation. The VATS model, is extension of their model of access time errors by ▫including systematic variation effects, ▫considering variation in Leff, ▫modeling the maximum access time of a line of SRAM rather than a single cell ▫using the alpha-power model that uses an [alpha] equal to 1.3 Memik et al. modeled errors in SRAM memory due to cross-talk noise as they overclock circuits. They use high degrees of overclocking — twice the nominal frequency and more. In the less than 25% overclocking regime that we consider, such cross-talk errors are negligible. For very small feature-size technologies, however, the situation may change. Ernst et al. and Karl et al. measured the error rate of a multiplier and an SRAM circuit, respectively, by reducing the voltage beyond safe limits to save power. They plot curves for error rate versus voltage. In this paper, we outlined a procedure to extract the distribution of path delays from these curves, and validated parts of our model by comparing it against their curves. 16