Xilinx Virtex-5 FPGA Clocking

Slides:



Advertisements
Similar presentations
TDC130: High performance Time to Digital Converter in 130 nm
Advertisements

Secrets of the DCM: Part 2
The Bus Architecture of Embedded System ESE 566 Report 1 LeTian Gu.
Reconfigurable Computing (EN2911X, Fall07) Lecture 04: Programmable Logic Technology (2/3) Prof. Sherief Reda Division of Engineering, Brown University.
Op-Amp- An active circuit element designed to perform mathematical operations of addition, subtraction, multiplication, division, differentiation and.
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
Uli Schäfer JEM Status and Test Results Hardware status JEM0 Hardware status JEM1 RAL test results.
Chapter 9 High Speed Clock Management. Agenda Inside the DCM Inside the DFS Jitter Inside the V5 PLL.
1 MTD Readout Electronics J. Schambach University of Texas Hefei, March 2011.
A scalable DAQ system using the DRS4 sampling chip H.Friederich 1, G.Davatz 1, U.Hartmann 2, A.Howard 1, H.Meyer 1, D.Murer 1, S.Ritt 2, N.Schlumpf 2 1.
Sequential Definitions  Use two level sensitive latches of opposite type to build one master-slave flipflop that changes state on a clock edge (when the.
Reconfigurable Computing - Clocks John Morris Chung-Ang University The University of Auckland ‘Iolanthe’ at 13 knots on Cockburn Sound, Western Australia.
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 19: Timing Issues; Introduction to Datapath.
Topological Design of Clock Distribution Networks Based on Non-Zero Clock Skew Specification A Class Presentation for VLSI course By: Sareh Mohebali By:
Chapter 11 Timing Issues in Digital Systems Boonchuay Supmonchai Integrated Design Application Research (IDAR) Laboratory August 20, 2004; Revised - July.
CRKIT R5 Clock Architecture WINLAB – Rutgers University June 13, 2013 Khanh Le.
A Novel Clock Distribution and Dynamic De-skewing Methodology Arjun Kapoor – University of Colorado at Boulder Nikhil Jayakumar – Texas A&M University,
Programmable logic and FPGA
Uli Schäfer 1 FPGAs for high performance – high density applications Intro Requirements of future trigger systems Features of recent FPGA families 9U *
TOF Electronics Qi An Fast Electronics Lab, USTC Sept. 16~17, 2002.
Virtex-6 Clocking Resources Basic FPGA Architecture
2.5Gbps jitter generator Part 1 final presentation.
A Flexible Interconnection Structure for Reconfigurable FPGA Dataflow Applications Gianluca Durelli, Alessandro A. Nacci, Riccardo Cattaneo, Christian.
1 Interconnection Networks and Scalable Crossbars Prof. U. Brüning Computer Architecture Group Institute of Computer Engineering University of Mannheim.
A comprehensive method for the evaluation of the sensitivity to SEUs of FPGA-based applications A comprehensive method for the evaluation of the sensitivity.
DLS Digital Controller Tony Dobbing Head of Power Supplies Group.
Spartan-II Memory Controller For QDR SRAMs Lobby Pitch February 2000 ®
FPGA and ASIC Technology Comparison - 1 © 2009 Xilinx, Inc. All Rights Reserved Basic FPGA Architecture (Virtex-6) Clocking Resources.
FPGA (Field Programmable Gate Array): CLBs, Slices, and LUTs Each configurable logic block (CLB) in Spartan-6 FPGAs consists of two slices, arranged side-by-side.
The World Leader in High-Performance Signal Processing Solutions Design a Clock Distribution for a WCDMA Transceiver System CSNDSP 2006 Session: B.11 Systems.
Architecture and Features
Sales Training 3/14/2013 Owner : SAYD Cypress Confidential IDT ICS8543 vs. Cypress CY2DL1504 Clock distribution in Router applications Clock signals delivered.
Reconfigurable Clock Distribution Circuitry Circuit and Systems,2007.ISCAS 2007.IEEE International Symposium on May 2007 Page(s): Atanu.
FPGA and ASIC Technology Comparison - 1 © 2009 Xilinx, Inc. All Rights Reserved Basic FPGA Architecture (Spartan-6) Clocking Resources.
© 2003 Xilinx, Inc. All Rights Reserved Global Timing Constraints FPGA Design Flow Workshop.
Coincidence Detector on SOPC Coincidence Detector on SOPC Final Presentation Presenting: Roee Bar & Gabi Klein Instructor:Ina Rivkin Technion – Israel.
Rad-Tolerant design of all-digital DLL Tuvia Liran ] Ran Ginosar ] Dov Alon ] Ramon-Chips.
ECE 448: Spring 11 Lab 3 Part 2 Finite State Machines.
Field Programmable Port Extender (FPX) 1 Modular Design Techniques for the FPX.
Embedded Embedded at-speed test at-speed test.
CRKIT R5 Clock Architecture
1 Performance Analysis (Clock Signal). 2 Unbalanced delays Logic with unbalanced delays leads to inefficient use of logic: long clock periodshort clock.
Owner: PAJEHigh-Performance 4-PLL Clock Generator Pop-up Presentation Rev ** High-Performance 4-PLL Clock Generator Cypress Delivers Industry-Leading.
ESS | FPGA for Dummies | | Maurizio Donna FPGA for Dummies Modern FPGA architecture.
Clock Networks and PLLs in Altera’s Stratix III Devices VLSI Systems I Fall 2007 Hamid Abbaalizadeh.
8133A Overview. 8133A Overview 10-Feb04 Page A Overview 1) Specifications and Applications 2) Operational Overview 3) Block Diagram.
EE141 Timing Issues 1 Chapter 10 Timing Issues Rev /11/2003.
CRKIT R5 Clock Architecture WINLAB – Rutgers University June 13, 2013 Khanh Le.
집적회로설계 1 Spring 2007 Prof. Sang Sik AHN Signal Processing LAB.
Issues in FPGA Technologies
XILINX FPGAs Xilinx lunched first commercial FPGA XC2000 in 1985
Low Jitter PLL clock frequency multiplier
FPGA implementation of a multi-channels, 1 ns time resolution, multi-hit TDC Lorenzo Iafolla Lorenzo Iafolla SuperB Workshop.
Spartan FPGAs مرتضي صاحب الزماني.
Spartan-II + Soft IP = Programmable ASSP
ASP-H Clocks John DeHart Applied Research Laboratory Computer Science and Engineering Department
These chips are operates at 50MHz clock frequency.
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
The Xilinx Virtex Series FPGA
CMOS VLSI Design Chapter 13 Clocks, DLLs, PLLs
A Digital Signal Prophecy The past, present and future of programmable DSP and the effects on high performance applications Continuing technology enhancements.
Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003
MCP Electronics Time resolution, costs
CMOS VLSI Design Chapter 13 Clocks, DLLs, PLLs
Reconfigurable FPGAs (The Xilinx Virtex II Pro / ProX FPGA family)
The Xilinx Virtex Series FPGA
Fixed Latency Serial Links with FPGA-embedded SerDes for SuperB
Presentation transcript:

Xilinx Virtex-5 FPGA Clocking Presented by: Wesley Holland

GCLs are available anywhere on chip Global Clock Lines Each Virtex-5 device has 32 global clock lines (GCLs) for clocking sequential resources GCLs are available anywhere on chip GCLs are designed to have low skew, low duty cycle distortion, low power, improved jitter tolerance, and to support very high frequency signals GCLs are differential for noise rejection Tree topology, with unused branches disconnected GCLs can be driven by the following sources: Global clock inputs (pins – can also double as GP I/O) Other GCLs General logic interconnect Clock Management Tiles (CMTs)

Regional clock lines are independent of the global clock network These clock trees are also designed for low-skew and low-power operation Unused branches are disconnected A regional clock is accessible only within a clock region and that region’s neighbors

Clock Management Tiles (CMTs) CMTs provide flexible, high-performance clocking Each CMT contains two digital clock managers (DCMs) and one PLL DCMs provide following features: Clock deskewing via contained DLL Frequency synthesis by integer multiplication and division Phase shifting Dynamic reconfiguration The main functions of the PLL are: Clock network deskewing Standalone frequency synthesis Jitter filtering

Deskewing methods: deskew buffers (in DCMs) Conclusions Deskewing methods: deskew buffers (in DCMs) Power considerations: conditional clocks Maximum clock rate: 550 MHz Maximum skew: 480 ps for XC5VLX110T (mid-range device) Maximum skew percentage: 26.4% Clock distribution topology: tree CMT features: Clock deskewing Frequency synthesis Phase shifting Dynamic reconfiguration Jitter filtering

References http://direct.xilinx.com/bvdocs/userguides/ug190.pdf http://direct.xilinx.com/bvdocs/publications/ds202.pdf