Xilinx Alliance Series Xilinx/Synopsys Powerful High Density Solutions

Slides:



Advertisements
Similar presentations
Basic HDL Coding Techniques
Advertisements

© 2003 Xilinx, Inc. All Rights Reserved Course Wrap Up DSP Design Flow.
Spartan-3 FPGA HDL Coding Techniques
Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
© 2003 Xilinx, Inc. All Rights Reserved Architecture Wizard and PACE FPGA Design Flow Workshop Xilinx: new module Xilinx: new module.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Kazi Spring 2008CSCI 6601 CSCI-660 Introduction to VLSI Design Khurram Kazi.
VHDL Synthesis in FPGA By Zhonghai Shi February 24, 1998 School of EECS, Ohio University.
XST Synthesis FPGA Design Workshop. Presentation Name 2 Objectives After completing this module, you will be able to…  List the synthesis options for.
Achieving Timing Closure. Achieving Timing Closure - 2 © Copyright 2010 Xilinx Objectives After completing this module, you will be able to:  Describe.
Foundation and XACTstepTM Software
Achieving Timing Closure. Objectives After completing this module, you will be able to: Describe a flow for obtaining timing closure Interpret a timing.
AT94 Training 2001Slide 1 AT40K10 AT40K20 AT40K40 AT40K80 5K-10K10K-20K20K-30K40K-50K80K-100K125K-150K ,0242,3044,0966,400 AT40K Family w/ FreeRAM.
Global Timing Constraints FPGA Design Workshop. Objectives  Apply timing constraints to a simple synchronous design  Specify global timing constraints.
Xilinx Programmable Logic Development Systems Foundation ISE version 3
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR HDL coding n Synthesis vs. simulation semantics n Syntax-directed translation n.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Ch.9 CPLD/FPGA Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Foundation Express The HDL Value Leader. Xilinx Foundation Express The HDL Value Leader  Complete HDL Development Environment Best in Class EDA Tools.
Xilinx Development Software Design Flow on Foundation M1.5
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
J. Christiansen, CERN - EP/MIC
FPGA (Field Programmable Gate Array): CLBs, Slices, and LUTs Each configurable logic block (CLB) in Spartan-6 FPGAs consists of two slices, arranged side-by-side.
Tools - Implementation Options - Chapter15 slide 1 FPGA Tools Course Implementation Options.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
© 2003 Xilinx, Inc. All Rights Reserved Synchronous Design Techniques.
Xilinx Programmable Logic Development Systems Alliance Series version 3.
Programmable Logic Training Course HDL Editor
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
Tools - LogiBLOX - Chapter 5 slide 1 FPGA Tools Course The LogiBLOX GUI and the Core Generator LogiBLOX L BX.
This material exempt per Department of Commerce license exception TSU Synchronous Design Techniques.
CORE Generator System V3.1i
Teaching Digital Logic courses with Altera Technology
What’s New in Xilinx Ready-to-use solutions. Key New Features of the Foundation Series 1.5/1.5i Release  New device support  Integrated design environment.
Xilinx Academy 4/98 1 Xilinx Software Solutions Xilinx Academy November, 1998.
Ready to Use Programmable Logic Design Solutions.
WebPOWERED Software Solutions – Spring 2000 WebPOWERED CPLD Software Solutions SPRING OF CY2000.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
1 2/1/99 Confidential Selling Xilinx Software vs. Altera Xilinx Academy February 24th, 1999.
How to use ISE Dept. of Info & Comm. Eng. Prof. Jongbok Lee.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
Introduction to the FPGA and Labs
ASIC Design Methodology
Xilinx Alliance Series
Dept. of Electrical and Computer Engineering
M1.5 Foundation Tools Xilinx XC9500/XL CPLD
Introduction to Programmable Logic
Xilinx Ready to Use Design Solutions
Xilinx/Exemplar Logic FPGA Synthesis Solution
Topics HDL coding for synthesis. Verilog. VHDL..
Timing Analysis 11/21/2018.
Programmable Logic Design Solutions
FPGA Tools Course Basic Constraints
FPGA Tools Course Answers
XILINX CPLDs The Total ISP Solution
Xilinx CPLD Fitter Advanced Optimization
ECE 699: Lecture 3 ZYNQ Design Flow.
Powerful High Density Solutions
Software Vision To Provide Designers The Advantages of….
VHDL Introduction.
Xilinx/Model Technology Powerful FPGA Verification Solution
Win with HDL Slide 4 System Level Design
THE ECE 554 XILINX DESIGN PROCESS
THE ECE 554 XILINX DESIGN PROCESS
Xilinx CPLD Software Solutions
Xilinx Alliance Series
Presentation transcript:

Xilinx Alliance Series Xilinx/Synopsys Powerful High Density Solutions

The Synopsys Advantage  Synopsys - Committed to Synthesis Own 90% Synthesis Market 14,000 FPGA design seats  Comprehensive Product Offering Synopsys ASIC & FPGA VIEWlogic System Level & FPGA LMG Simulation Modeling QuadMotive Static Timing Analyzer  Path to Higher Level Synthesis Tools  Verification at Every Stage of Design Post Synthesis, Post P&R, Timing Analysis

The Xilinx Synopsys Advantage  OEM Agreement for FPGA Express  Device support upon introduction  Enhanced Design Performance  Joint development teams  Xilinx specific optimization  Advanced implementation technology  QOR Improvements  Ongoing synthesis benchmark feedback The Key to Success is A Strong Committed Partnership!

FPGA Express

Optimization Technology  General Optimization Timing Driven Synthesis Resource Sharing LUT Based Mapping  Xilinx Specific Optimization Clock Enable Flip-Flop Mapping Carry Logic Mapping for arithmetic functions Automatic Clock Buffer Mapping Automatic GSR Inferencing Complex IO Pad Mapping Slew Rate Control Pad location assignment

Datapath Synthesis - Module Generation & Mapping  Operators Adders, subtractors, comparators, counters. Multipliers  Instantiate using LogiBlox and/or COREgen RAM FIFO Multipliers (pipeline)

State Machine Optimization  Automatic FSM Encoding One Hot or Binary User selectable option  Coding Style Template Templates in On-Line Help Enumerated type in VHDL parameter in Verilog

Timing Driven Design 1. Timing Characterization Logic Level Optimization Clock Waveforms l Builds Table of all Clock Nets l You can Specifies Clock Waveforms Paths Group l Identifies all clock groups l Calculates Constraints Based on Clock Waveforms l You Can Override these Constraints, e.g. multi-cycle

Timing Driven Design 2. I/O Port Control l Builds a Table of All I/O Ports l You can Specify: l Pin Location l Pad Type (slew, resistance…) l Input / Output Delay l IO Register Mapping l Specify Pull-Up on IOs Hierarchy Control l Displays Design Hierarchy l Preserve Hierarchy l Resource Sharing 3.

Integration, Foundation Express Ease-Of-Use  Timing Constraints Single Entry Propagation to place & route –via timespec today –via NCF in F1.5  Integration of synthesis and place & route Forward annotation of constraints  Error Navigation to source within Express

Xilinx Specific Features  FPGA Express Passes the Timing Constraints to the Netlist for Xilinx’ P&R Using TIMESPEC Timespecs symbols placed in top level XNF via NCF in F1.5 SYM, TS0, TIMESPEC, TS0=from:pads:to:tgrp_0_DFF=20ns, LIBVER=2.0.0 END SYM, TS1, TIMESPEC, TS1=from:tgrp_0_DFF:to:pads=20ns, LIBVER=2.0.0 END SYM, TS2, TIMESPEC, TS2=from:tgrp_0_DFF:to:tgrp_0_ DFF=10ns, LIBVER=2.0.0 END Input Setup Clock-to-Out Reg-to-Reg

Xilinx Specific Features  FPGA Express Automatically Infers Global [Asynchronous] Set/Reset Line Initializes Each Register to Either Set or Reset Dedicated Distribution Network Reduces Routing Congestion Reset signal must source all FF’s

High Density Methodology  Advanced Hierarchy Management Boundary Optimization Ability to preserve/eliminate hierarchy boundary Automatic uniquification Complete Design or Module Level Control Built for Top-Down  Incremental Design Joint Development in Progress

Synopsys FPGA Compiler

High Density Methodology  Advanced Hierarchy Management Boundary Optimization Ability to preserve/eliminate hierarchy boundary Automatic uniquification Complete Design or Module Level Control Built for Top-Down  Incremental Design Joint Development in Progress

Optimization Technology  Generic Optimization Timing Driven Synthesis LUT Based Mapping Resource Sharing  Xilinx Specific Optimization Clock Enable Flip-Flop Mapping Carry Logic Mapping for arithmetic functions Automatic Clock Buffer Mapping – Limited to input ports Complex IO Pad Mapping Slew Rate Control Pad location assignment

Datapath Synthesis Module Generation & Mapping  DesignWare Library Counters, adders, subtractors, comparators Multipliers Synopsys DW only  Instantiate using LogiBlox and/or COREgen RAM FIFO Multipliers

State Machine Optimization  State Machine Compiler FSM Extraction –< 20 states Explicitly Encode –> 20 states and more Strengths and limitations –State Machine extraction at netlist level –Expert User

State Machine Optimization  State Machine Compiler FSM Extraction –< 20 states Explicitly Encode –> 20 states and more Strengths and limitations –State Machine extraction at netlist level –Expert User

Xilinx Specific Features  Passing Constraints to Place and Route dc2ncf  Design Recommendations Do not Overconstrain design Flatten Design for translation

Timing Driven Design  Design Constraints clocks input setup clock-to-out multi-cycle path  Optimization Directives Boundary Optimization Mapping Effort re-timing using balance_registers (for XC4000)  Scripting Capability dc_shell, ASIC migration

High Density Methodology  Boundary Optimization Maintain large hierarchical blocks  Group Based on critical path  Ungroup Enable dc2ncf Faster ngdbuild runtimes  Fanout Control Module Level Control

Recommended Design Technique  Registers at output of hierarchical boundary Bad COMBO LOGIC C COMBO LOGIC B CLK REG A CLK REG C COMBO LOGIC A ABC Good CLK REG A REG C COMBO LOGIC A & B & C AC Best CLK REG A CLK REG C COMBO LOGIC A & B & C AC

Source code is 100% compatible FPGA Compiler II Two Tools in a Single Package FPGA Compiler FPGA Express PC, UNIX FPGA Compiler II- Phase 1 Features : Complete DC Script Compatibility l Timing Driven Design Features: l Highest Quality of Results l Fast Run times l Easy-to-use GUIs PLUS

FPGA Compiler II provides Flexibility The freedom to choose the right tool when you need it! FPGA Express Push-button user interface, Easy-to-Use design constraint entry, Optimized for FPGAs FPGA Compiler Full Design Compiler compatibility, Complete ASIC FPGA flow support

FPGA Compiler II Road Map 1997 February 1998 future FPGA Compiler II FPGA Express Technology: l DC Compatibility l Design Constraint Entry l Seamless ASIC Migration l Incremental Synthesis FPGA Compiler II FPGA Compiler FPGA Express FPGA Compiler FPGA Compiler

Consider FPGA Compiler II & Xilinx Best Results Quickly and Easily! Push Button Algorithms Automatic IO pad mapping Built in module generation Automatic Global Signal Mapping Resource Sharing Full Control to Specify Design Performance Timing constraints Hierarchy structure Device Buffers All Constraints Passed to Implementation Tools!

FPGA Express Technology Delivers  New Generation Synthesis Technology for FPGAs improving QOR and utilization  Push Button Design Flow for intuitive ease-of-use  Synopsys-supplied libraries for highest QOR  Advanced device information providing synthesis support at device introduction Taking FPGA Synthesis to the Next Step HDL Compiler VHDL Compiler When benchmarked - Hands Down WINNER over the Free stuff!

FPGA Compiler II Delivers l Higher device efficiency with fine tuned algorithms for Xilinx architectures (LUT optimization) l Enhanced device utilization with automatic carry chain and cascade logic mapping l Ease of use with intuitive graphical user interface l ASIC compatibility with seamless flow from FPGA to ASIC The First Quality FPGA Synthesis Tool

Verification & Debugging Logic Modeling l Focus on design and system verification rather than simulation details l Debug functionality and timing quickly l Quickly identify root of logic/timing errors and reprogram model during simulation –Simulate –Modify your design –Reprogram SmartModel –Continue to simulate with modified design l Quick incremental design changes speeding up design turns l Support for 3K, 4K, Spartan, 5K, and XC9500 SmartModel FPGA design entry synthesis Xilinx Implementation Tools Design Flow Program device System Design Xilinx Netlist Ë Simulate FPGA in system Ê Program model Ì Modify design if necessary. Repeat.

Verification Flow Logic Modeling FPGA S_Count I_Bus I_Addr OE_Ctrl D0E 204F38 0 SmartModel FPGA/CPLD A B C D K F G E I H J SM Windows Visual SmartBrowser PLdebug PLdebug, SmartModel Windows, Visual SmartBrowser Significantly reduce debug time for Xilinx FPGA/CPLD designs

Summary  Overview of current features Mapping Control –Ability to direct logic to HMAP and FMAP Single Constraint Entry and Propagation to P&R Preserve Hierarchy Faster runtime than FC (~10x) Module Generation  Future Development Schematic View and cross-probe to source Scripting capability Incremental Synthesis